Gentoo Websites Logo
Go to: Gentoo Home Documentation Forums Lists Bugs Planet Store Wiki Get Gentoo!
Bug 76852 - sci-electronics/myhdl - using Python as a hardware description and verification language (HDL)
Summary: sci-electronics/myhdl - using Python as a hardware description and verificati...
Status: CONFIRMED
Alias: None
Product: Gentoo Linux
Classification: Unclassified
Component: New packages (show other bugs)
Hardware: All Linux
: Normal normal (vote)
Assignee: Default Assignee for New Packages
URL: http://www.myhdl.org/
Whiteboard:
Keywords: EBUILD
Depends on:
Blocks:
 
Reported: 2005-01-05 21:22 UTC by matt
Modified: 2018-06-07 18:24 UTC (History)
3 users (show)

See Also:
Package list:
Runtime testing required: ---


Attachments
myhdl ebuild (myhdl-0.4.1.ebuild,560 bytes, text/plain)
2005-01-05 21:23 UTC, matt
Details
myhdl ebuild (myhdl-0.4.1.ebuild,581 bytes, text/plain)
2005-01-07 10:09 UTC, matt
Details
version bump plus minor rewrite of prior ebuild (myhdl-0.6.ebuild,547 bytes, text/plain)
2009-07-24 05:25 UTC, James Earl Spahlinger
Details

Note You need to log in before you can comment on or make changes to this bug.
Description matt 2005-01-05 21:22:22 UTC
myhdl is a dev-python package that provides a handy HDL simulation environment.  It's homepage is http://jandecaluwe.com/Tools/MyHDL/Overview.html.

Reproducible: Always
Steps to Reproduce:
1.
2.
3.
Comment 1 matt 2005-01-05 21:23:51 UTC
Created attachment 47746 [details]
myhdl ebuild

I'm attaching the ebuild that I use in my PORTDIR_OVERLAY
Comment 2 matt 2005-01-07 10:09:17 UTC
Created attachment 47875 [details]
myhdl ebuild
Comment 3 James Earl Spahlinger 2009-07-24 04:27:46 UTC
 Software is current, had a release Jan 2009 for version 0.6. I'll go ahead and test the ebuild with version number changed to see if the newest version is good enough for sunrise at least. I'll have to figure sunrise out first ;)
Comment 4 James Earl Spahlinger 2009-07-24 04:33:12 UTC
Comment on attachment 47875 [details]
myhdl ebuild

Version as listed does not exist on sourceforge.
Comment 5 James Earl Spahlinger 2009-07-24 05:25:14 UTC
Created attachment 198959 [details]
version bump plus minor rewrite of prior ebuild

Ebuild installs properly, I "tested" it by running a few of the examples in /usr/share/doc/myhdl-0.6/example/manual/ and they seemed to run, though with a few errors. If someone else with a better idea of what this does/what it is for wants to test the given ebuild I'm all ears. Ebuild works on amd64.

Ebuild changes (from 0.4.1), new SRC_URI, updated HOMEPAGE
Comment 6 Andreas K. Hüttel archtester gentoo-dev 2010-07-01 18:45:23 UTC
Last release is 0.6 (2009)
Comment 7 Thomas Beierlein gentoo-dev 2013-01-03 07:17:35 UTC
Homepage changed to http://www.myhdl.org and actual version is 0.7