Gentoo Websites Logo
Go to: Gentoo Home Documentation Forums Lists Bugs Planet Store Wiki Get Gentoo!
Bug 547356 - sci-electronics/ghdl-0.33 version bump
Summary: sci-electronics/ghdl-0.33 version bump
Status: RESOLVED WONTFIX
Alias: None
Product: Gentoo Linux
Classification: Unclassified
Component: [OLD] Development (show other bugs)
Hardware: All Linux
: Normal enhancement (vote)
Assignee: The Soldering-Iron Brotherhood
URL:
Whiteboard:
Keywords: EBUILD
Depends on: 547358
Blocks:
  Show dependency tree
 
Reported: 2015-04-22 01:55 UTC by Olivier Diotte
Modified: 2017-07-14 10:56 UTC (History)
2 users (show)

See Also:
Package list:
Runtime testing required: ---


Attachments
ebuild in its current (incomplete) shape (ghdl-0.31.ebuild,3.22 KB, text/plain)
2015-04-22 01:56 UTC, Olivier Diotte
Details
ghdl-0.33.ebuild (ghdl-0.33.ebuild,2.47 KB, text/plain)
2016-12-16 07:21 UTC, Marco Genasci
Details
ghdl-0.33.ebuild (ghdl-0.33.ebuild,2.48 KB, text/plain)
2016-12-23 10:28 UTC, Marco Genasci
Details
ghdl-0.33-r1.ebuild (ghdl-0.33-r1.ebuild,2.48 KB, text/plain)
2016-12-23 10:29 UTC, Marco Genasci
Details

Note You need to log in before you can comment on or make changes to this bug.
Description Olivier Diotte 2015-04-22 01:55:34 UTC
I am in the process of trying to write a ebuild for ghdl 0.31 but am running into problems because GCC >= 4.8 requires a C++ bootstrap compiler, GHDL requires an ada bootstrap compiler, gcc doesn't support ada and gnatgcc doesn't support C++.

As I am running into a lot of problems, I'll use this bug report to track my progress along with relevant blockers.

Reproducible: Always
Comment 1 Olivier Diotte 2015-04-22 01:56:27 UTC
Created attachment 401772 [details]
ebuild in its current (incomplete) shape
Comment 2 Marco Genasci 2016-12-16 07:21:09 UTC
Created attachment 456364 [details]
ghdl-0.33.ebuild
Comment 3 Marco Genasci 2016-12-16 07:26:12 UTC
I've created a ebuild for gdhl-0.33 starting from gdhl-0.29 and PKGBUILD of arch (https://aur.archlinux.org/cgit/aur.git/tree/PKGBUILD?h=ghdl).
I am not sure that all is ok, particularly in src_copy_vhdl_sources function (I run a configure and a make in src_prepare), but seems to work.
Comment 4 Marco Genasci 2016-12-23 10:28:33 UTC
Created attachment 457258 [details]
ghdl-0.33.ebuild

Not sure if correct way but I've added subslot with gcc-version (SLOT="0/${GCC_VERSION}")
Comment 5 Marco Genasci 2016-12-23 10:29:13 UTC
Created attachment 457260 [details]
ghdl-0.33-r1.ebuild

Version with gcc-4.94
Comment 6 Pacho Ramos gentoo-dev 2017-07-14 10:56:38 UTC
removed