* Package: sci-electronics/bluespec-2022.01:2022.01 * Repository: guru * Maintainer: vowstar@gmail.com * USE: abi_x86_64 amd64 elibc_glibc kernel_linux userland_GNU * FEATURES: network-sandbox preserve-libs sandbox userpriv usersandbox @@@@@ PLEASE PAY ATTENTION HERE!!! @@@@@ This information may help you to understand if this is a duplicate or if this bug exists after you pushed a fix; This ebuild was merged at the following commit: https://github.com/gentoo/gentoo/commit/c056da21bbde2f8289aefbfd40a4ef426e9fa3e7 (Tue Sep 6 10:18:47 UTC 2022) @@@@@ END @@@@@ @@@@@ PLEASE PAY ATTENTION HERE!!! @@@@@ This information may help you to understand if this is a duplicate or if this bug exists after you pushed a fix; This ebuild was merged at the following commit: https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=2476330f962f666b8a7c9ecba9fb9d2e9bb7056a (Sun Sep 4 06:59:30 UTC 2022) @@@@@ END @@@@@ @@@@@ PLEASE PAY ATTENTION HERE!!! @@@@@ This ebuild was merged (directly or as a dependency) because of the following commit: https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=89bf0b667844c62cd0b8c3c682883da91e938496 @@@@@ END @@@@@ ################## # emerge --info: # ################## Portage 3.0.35 (python 3.10.6-final-0, default/linux/amd64/17.1, gcc-12.2.0, glibc-2.35-r8, 5.10.133-gentoo x86_64) ================================================================= System uname: Linux-5.10.133-gentoo-x86_64-Intel-R-_Xeon-R-_CPU_E5-2650_v4_@_2.20GHz-with-glibc2.35 KiB Mem: 264024340 total, 147377076 free KiB Swap: 0 total, 0 free sh bash 5.1_p16-r2 ld GNU ld (Gentoo 2.39 p4) 2.39.0 app-misc/pax-utils: 1.3.5::gentoo app-shells/bash: 5.1_p16-r2::gentoo dev-lang/perl: 5.36.0::gentoo dev-lang/python: 2.7.18_p15-r1::gentoo, 3.8.13_p8::gentoo, 3.9.13_p6::gentoo, 3.10.6_p4::gentoo, 3.11.0_rc1_p2::gentoo dev-util/cmake: 3.24.1::gentoo dev-util/meson: 0.63.2::gentoo sys-apps/baselayout: 2.8-r2::gentoo sys-apps/openrc: 0.45.2::gentoo sys-apps/sandbox: 2.29::gentoo sys-devel/autoconf: 2.71-r1::gentoo sys-devel/automake: 1.16.5::gentoo sys-devel/binutils: 2.39-r1::gentoo sys-devel/binutils-config: 5.4.1::gentoo sys-devel/gcc: 12.2.0::gentoo sys-devel/gcc-config: 2.5-r1::gentoo sys-devel/libtool: 2.4.7::gentoo sys-devel/make: 4.3::gentoo sys-kernel/linux-headers: 5.19::gentoo (virtual/os-headers) sys-libs/glibc: 2.35-r8::gentoo Repositories: gentoo location: /usr/portage sync-type: rsync sync-uri: rsync://rsync.gentoo.org/gentoo-portage priority: -1000 sync-rsync-verify-max-age: 24 sync-rsync-extra-opts: sync-rsync-verify-jobs: 1 sync-rsync-verify-metamanifest: yes guru location: /opt/guru masters: gentoo priority: 0 ACCEPT_KEYWORDS="amd64 ~amd64" ACCEPT_LICENSE="* BSD GPL-3+ MIT" CBUILD="x86_64-pc-linux-gnu" CFLAGS="-O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0" CHOST="x86_64-pc-linux-gnu" CONFIG_PROTECT="/etc /usr/share/gnupg/qualified.txt" CONFIG_PROTECT_MASK="/etc/ca-certificates.conf /etc/env.d /etc/fonts/fonts.conf /etc/gconf /etc/gentoo-release /etc/revdep-rebuild /etc/sandbox.d /etc/terminfo" CXXFLAGS="-O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0" DISTDIR="/var/tmp/portage/sci-electronics/bluespec-2022.01/distdir" EMERGE_DEFAULT_OPTS="--with-bdeps=y -1 -k -b" ENV_UNSET="CARGO_HOME DBUS_SESSION_BUS_ADDRESS DISPLAY GOBIN GOPATH PERL5LIB PERL5OPT PERLPREFIX PERL_CORE PERL_MB_OPT PERL_MM_OPT XAUTHORITY XDG_CACHE_HOME XDG_CONFIG_HOME XDG_DATA_HOME XDG_RUNTIME_DIR" FCFLAGS="-O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0" FEATURES="assume-digests binpkg-docompress binpkg-dostrip binpkg-logs binpkg-multi-instance buildpkg buildpkg-live config-protect-if-modified distlocks ebuild-locks fixlafiles ipc-sandbox merge-sync multilib-strict network-sandbox news parallel-fetch pid-sandbox preserve-libs protect-owned qa-unresolved-soname-deps sandbox sfperms sign split-log strict unknown-features-warn unmerge-logs unmerge-orphans userfetch userpriv usersandbox usersync xattr" FFLAGS="-O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0" GENTOO_MIRRORS="http://mirror.leaseweb.com/gentoo/ http://ftp.snt.utwente.nl/pub/os/linux/gentoo/ http://ftp.belnet.be/pub/rsync.gentoo.org/gentoo/ http://distfiles.gentoo.org" LANG="C.UTF8" LDFLAGS="-Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0" MAKEOPTS="-j46" PKGDIR="/root/tbci/binpkg" PORTAGE_CONFIGROOT="/" PORTAGE_RSYNC_OPTS="--recursive --links --safe-links --perms --times --omit-dir-times --compress --force --whole-file --delete --stats --human-readable --timeout=180 --exclude=/distfiles --exclude=/local --exclude=/packages --exclude=/.git" PORTAGE_TMPDIR="/var/tmp" SHELL="/bin/bash" USE="acl amd64 bzip2 cli crypt dri elogind fortran gdbm iconv ipv6 jumbo-build libglvnd libtirpc multilib native-symlinks ncurses nls nptl openmp pam pcre readline seccomp split-usr ssl unicode xattr zlib" ABI_X86="64" ELIBC="glibc" KERNEL="linux" USERLAND="GNU" Unset: ADDR2LINE, AR, ARFLAGS, AS, ASFLAGS, CC, CCLD, CONFIG_SHELL, CPP, CPPFLAGS, CTARGET, CXX, CXXFILT, ELFEDIT, EXTRA_ECONF, F77FLAGS, FC, GCOV, GPROF, INSTALL_MASK, LC_ALL, LD, LEX, LFLAGS, LIBTOOL, LINGUAS, MAKE, MAKEFLAGS, NM, OBJCOPY, OBJDUMP, PORTAGE_BINHOST, PORTAGE_BUNZIP2_COMMAND, PORTAGE_COMPRESS, PORTAGE_COMPRESS_FLAGS, PORTAGE_RSYNC_EXTRA_OPTS, RANLIB, READELF, RUSTFLAGS, SIZE, STRINGS, STRIP, YACC, YFLAGS ############################## # emerge history (qlop -mv): # ############################## 2022-09-06T14:09:04 >>> dev-python/alabaster-0.7.12-r1 2022-09-06T14:09:05 >>> dev-python/imagesize-1.4.1 2022-09-06T14:09:07 >>> dev-python/pytz-2022.2.1 2022-09-06T14:09:07 >>> dev-python/backports-zoneinfo-0.2.1-r2 2022-09-06T14:09:03 >>> dev-python/pygments-2.13.0 2022-09-06T14:09:06 >>> dev-python/snowballstemmer-2.2.0-r1 2022-09-06T14:09:08 >>> app-text/dos2unix-7.4.3 2022-09-06T14:09:18 >>> app-eselect/eselect-bluespec-1.0.1 2022-09-06T14:09:09 >>> sys-process/time-1.9 2022-09-06T14:09:58 >>> dev-python/Babel-2.10.3 2022-09-06T14:10:14 >>> dev-python/docutils-0.19 2022-09-06T14:09:21 >>> dev-tcltk/expect-5.45.4-r3 2022-09-06T14:09:20 >>> sci-electronics/systemc-2.3.4-r2 2022-09-06T14:10:51 >>> dev-python/sphinxcontrib-applehelp-1.0.2-r1 2022-09-06T14:09:19 >>> sci-electronics/iverilog-11.0 2022-09-06T14:11:17 >>> dev-python/sphinxcontrib-devhelp-1.0.2-r1 2022-09-06T14:11:02 >>> dev-util/dejagnu-1.6.3 2022-09-06T14:11:28 >>> dev-python/sphinxcontrib-jsmath-1.0.1-r2 2022-09-06T14:11:42 >>> dev-python/sphinxcontrib-htmlhelp-2.0.0-r1 2022-09-06T14:11:49 >>> dev-python/sphinxcontrib-serializinghtml-1.1.5-r1 2022-09-06T14:11:57 >>> dev-python/sphinxcontrib-qthelp-1.0.3-r1 2022-09-06T14:12:04 >>> dev-python/sphinx-5.1.1 2022-09-06T14:12:16 >>> dev-lang/ghc-9.0.2 2022-09-06T14:45:49 >>> app-admin/haskell-updater-1.3.2-r1 2022-09-06T14:46:21 >>> dev-haskell/mtl-2.2.2 2022-09-06T14:46:22 >>> dev-haskell/text-1.2.5.0 2022-09-06T14:46:22 >>> dev-haskell/fail-4.9.0.0 2022-09-06T14:46:48 >>> dev-haskell/parsec-3.1.14.0-r1 2022-09-06T14:47:08 >>> dev-haskell/cabal-3.4.1.0 2022-09-06T14:47:27 >>> dev-haskell/split-0.2.3.4 2022-09-06T14:47:26 >>> dev-haskell/regex-base-0.94.0.1 2022-09-06T14:47:27 >>> dev-haskell/syb-0.7.2.1 2022-09-06T14:47:28 >>> dev-haskell/old-locale-1.0.0.7 2022-09-06T14:48:04 >>> dev-haskell/regex-posix-0.96.0.0 2022-09-06T14:48:20 >>> dev-haskell/old-time-1.1.0.3-r1 2022-09-06T14:48:42 >>> dev-haskell/regex-compat-0.95.2.1 ####################################### # installed packages (qlist -ICvUSS): # ####################################### acct-group/audio-0-r1:0 acct-group/cdrom-0-r1:0 acct-group/dialout-0-r1:0 acct-group/disk-0-r1:0 acct-group/input-0-r1:0 acct-group/kmem-0-r1:0 acct-group/kvm-0-r1:0 acct-group/lp-0-r1:0 acct-group/man-0-r1:0 acct-group/messagebus-0-r1:0 acct-group/polkitd-0-r1:0 acct-group/portage-0:0 acct-group/render-0-r1:0 acct-group/sgx-0:0 acct-group/sshd-0-r1:0 acct-group/tape-0-r1:0 acct-group/tty-0-r1:0 acct-group/video-0-r1:0 acct-user/man-1-r1:0 acct-user/messagebus-0-r1:0 acct-user/polkitd-0-r1:0 acct-user/portage-0:0 acct-user/sshd-0-r1:0 app-admin/eselect-1.4.20:0 -doc -emacs -vim-syntax app-admin/haskell-updater-1.3.2-r1:0 app-admin/perl-cleaner-2.30:0 app-arch/bzip2-1.0.8-r2:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 split-usr -static -static-libs -verify-sig app-arch/gzip-1.12-r2:0 -pic -static -verify-sig app-arch/libarchive-3.6.1:0/13 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 acl -blake2 bzip2 e2fsprogs -expat iconv -lz4 lzma -lzo -nettle -static-libs -verify-sig xattr -zstd app-arch/tar-1.34:0 acl -minimal nls -selinux -verify-sig xattr app-arch/unzip-6.0_p27:0 bzip2 -natspec unicode app-arch/xz-utils-5.2.6:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 extra-filters nls split-usr -static-libs -verify-sig app-arch/zstd-1.5.2-r1:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -lz4 split-usr -static-libs threads app-crypt/gnupg-2.3.7-r1:0 bzip2 -doc -ldap nls readline -selinux smartcard ssl -test tofu -tools -tpm -usb -user-socket -verify-sig -wks-server app-crypt/gpgme-1.18.0-r1:1/11.6.15.1 -common-lisp cxx -python python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -qt5 -static-libs -test -verify-sig app-crypt/libb2-0.98.1-r3:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -native-cflags openmp -static-libs app-crypt/libmd-1.0.4:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 app-crypt/pinentry-1.2.1:0 -caps -efl -emacs -gnome-keyring -gtk ncurses -qt5 -verify-sig app-crypt/rhash-1.4.3:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug nls ssl -static-libs app-editors/nano-6.4:0 -debug -justify -magic -minimal ncurses nls spell split-usr -static unicode app-eselect/eselect-bluespec-1.0.1:0 app-eselect/eselect-fontconfig-20220403:0 app-eselect/eselect-iptables-20220320:0 app-eselect/eselect-lib-bin-symlink-0.1.1-r1:0 app-eselect/eselect-pinentry-0.7.2:0 app-i18n/man-pages-ja-20180315-r1:0 app-i18n/man-pages-l10n-4.14.0-r1:0 l10n_cs l10n_da l10n_de l10n_el l10n_es l10n_fi l10n_fr l10n_hu l10n_id l10n_it l10n_mk l10n_nb l10n_nl l10n_pl l10n_pt-BR l10n_ro l10n_sr l10n_sv l10n_uk l10n_vi app-i18n/man-pages-ru-5.03.2390.2390.20191017-r1:0 app-i18n/man-pages-zh_CN-1.6.3.6:0 app-misc/c_rehash-1.7-r1:0 app-misc/ca-certificates-20211016.3.80:0 -cacert app-misc/editor-wrapper-4-r1:0 app-misc/mime-types-2.1.53:0 -nginx app-misc/pax-utils-1.3.5:0 -caps man -python python_single_target_python3_10 -python_single_target_python3_11 -python_single_target_python3_8 -python_single_target_python3_9 seccomp -test app-misc/tmux-3.3a:0 -debug -selinux -systemd -utempter -vim-syntax app-portage/eix-0.36.5:0 -debug -doc nls -sqlite app-portage/elt-patches-20220831:0 app-portage/gemato-16.2:0 gpg python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test -tools app-portage/gentoolkit-0.6.1-r3:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test app-portage/portage-utils-0.94.3:0 openmp qmanifest qtegrity -static app-shells/bash-5.1_p16-r2:0 -afs -bashlogger -examples -mem-scramble net nls -plugins readline -verify-sig app-shells/bash-completion-2.11:0 eselect -test app-shells/gentoo-bashcomp-20190211-r1:0 app-shells/push-3.4:0 app-shells/quoter-4.2:0 app-text/ansifilter-2.18:0 -qt5 app-text/build-docbook-catalog-2.3-r1:0 app-text/docbook-xml-dtd-4.5-r2:4.5 app-text/docbook-xml-dtd-4.4-r3:4.4 app-text/docbook-xml-dtd-4.2-r3:4.2 app-text/docbook-xml-dtd-4.1.2-r7:4.1.2 app-text/docbook-xsl-stylesheets-1.79.1-r3:0 -ruby app-text/dos2unix-7.4.3:0 -debug nls -test app-text/manpager-1:0 app-text/opensp-1.5.2-r7:0 -doc nls -static-libs -test app-text/po4a-0.67:0 -test -test app-text/sgml-common-0.6.3-r7:0 app-text/xmlto-0.0.28-r8:0 -latex text dev-db/sqlite-3.39.3:3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug -doc -icu readline -secure-delete -static-libs -tcl -test -tools dev-haskell/cabal-3.4.1.0:0/3.4.1.0 -doc -hscolour -profile dev-haskell/fail-4.9.0.0:0/4.9.0.0 -profile dev-haskell/mtl-2.2.2:0/2.2.2 -doc -hscolour -profile dev-haskell/old-locale-1.0.0.7:0/1.0.0.7 -doc -hscolour -profile dev-haskell/old-time-1.1.0.3-r1:0/1.1.0.3 -doc -hscolour -profile dev-haskell/parsec-3.1.14.0-r1:0/3.1.14.0 -doc -hscolour -profile dev-haskell/regex-base-0.94.0.1:0/0.94.0.1 -doc -hscolour -profile dev-haskell/regex-compat-0.95.2.1:0/0.95.2.1 -doc -hscolour -profile dev-haskell/regex-posix-0.96.0.0:0/0.96.0.0 -doc -hscolour -profile dev-haskell/split-0.2.3.4:0/0.2.3.4 -doc -hscolour -profile -test dev-haskell/syb-0.7.2.1:0/0.7.2.1 -doc -hscolour -profile -test dev-haskell/text-1.2.5.0:0/1.2.5.0 -doc -hscolour -profile dev-lang/duktape-2.7.0-r1:0/2.7.0 dev-lang/ghc-9.0.2:0/9.0.2 -big-endian -binary doc -elfutils -ghcbootstrap -ghcmakebinary gmp -llvm -numa -profile -test dev-lang/perl-5.36.0:0/5.36 -berkdb -debug -doc gdbm ithreads -minimal -quadmath dev-lang/python-3.11.0_rc1_p2:3.11 -bluetooth -build ensurepip -examples gdbm -hardened -libedit -lto ncurses -pgo readline sqlite ssl -test -tk -verify-sig dev-lang/python-3.10.6_p4:3.10 -bluetooth -build ensurepip -examples gdbm -hardened -libedit -lto ncurses -pgo readline sqlite ssl -test -tk -verify-sig xml dev-lang/python-3.9.13_p6:3.9 -bluetooth -build ensurepip -examples gdbm -hardened -lto ncurses -pgo readline sqlite ssl -test -tk -verify-sig xml dev-lang/python-3.8.13_p8:3.8 -bluetooth -build ensurepip -examples gdbm -hardened -lto ncurses -pgo readline sqlite ssl -test -tk -verify-sig -wininst xml dev-lang/python-2.7.18_p15-r1:2.7 -berkdb -bluetooth -build -examples gdbm -hardened ncurses readline sqlite ssl -tk -verify-sig -wininst xml dev-lang/python-exec-2.4.9:2 native-symlinks python_targets_pypy3 python_targets_python3_10 python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-lang/python-exec-conf-2.4.6:2 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-lang/tcl-8.6.12:0/8.6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug threads dev-libs/boehm-gc-8.2.2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cxx large -static-libs threads dev-libs/elfutils-0.187:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 bzip2 -lzma nls -static-libs -test -threads utils -valgrind -verify-sig -zstd dev-libs/expat-2.4.8:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -examples -static-libs unicode dev-libs/glib-2.72.3:2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -dbus -debug elf -fam -gtk-doc mime -selinux -static-libs -sysprof -systemtap -test -utils xattr dev-libs/gmp-6.2.1-r2:0/10.4 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 asm cxx -doc -pic -static-libs dev-libs/gobject-introspection-1.72.0:0 -doctool -gtk-doc python_single_target_python3_10 -python_single_target_python3_11 -python_single_target_python3_8 -python_single_target_python3_9 -test dev-libs/gobject-introspection-common-1.72.0:0 dev-libs/isl-0.24-r2:0/23 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs dev-libs/jsoncpp-1.9.5:0/25 -doc -test dev-libs/libassuan-2.5.5:0 dev-libs/libatomic_ops-7.6.14:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 dev-libs/libbsd-0.11.6:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs -verify-sig dev-libs/libevent-2.1.12:0/2.1-7 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 clock-gettime -debug -malloc-replacement ssl -static-libs -test threads -verbose-debug dev-libs/libffi-3.4.2-r2:0/8 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug -exec-static-trampoline -experimental-loong -pax-kernel -static-libs -test dev-libs/libgcrypt-1.10.1-r1:0/20 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 asm -cpu_flags_arm_aes -cpu_flags_arm_neon -cpu_flags_arm_sha1 -cpu_flags_arm_sha2 -cpu_flags_ppc_altivec -cpu_flags_ppc_vsx2 -cpu_flags_ppc_vsx3 cpu_flags_x86_aes cpu_flags_x86_avx cpu_flags_x86_avx2 -cpu_flags_x86_padlock -cpu_flags_x86_sha cpu_flags_x86_sse4_1 -doc -static-libs -verify-sig dev-libs/libgpg-error-1.45-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -common-lisp nls -static-libs -test dev-libs/libksba-1.6.0:0 -static-libs dev-libs/libltdl-2.4.7:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs dev-libs/libpcre-8.45-r1:3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 bzip2 cxx jit -libedit pcre16 pcre32 readline split-usr -static-libs unicode zlib dev-libs/libpcre2-10.40:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 bzip2 jit -libedit pcre16 pcre32 readline split-usr -static-libs unicode -verify-sig zlib dev-libs/libpipeline-1.5.6:0 -test dev-libs/libtasn1-4.19.0:0/6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs -test -valgrind -verify-sig dev-libs/libunistring-1.0:0/2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -static-libs dev-libs/libuv-1.44.2:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 dev-libs/libxml2-2.10.2:2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug -examples ftp -icu -lzma python python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 readline -static-libs -test dev-libs/libxslt-1.1.37:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 crypt -debug -examples -python python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -static-libs dev-libs/lzo-2.10:2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -examples split-usr -static-libs dev-libs/mpc-1.2.1:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs dev-libs/mpfr-4.1.0_p13-r1:0/6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs dev-libs/nettle-3.8.1:0/8-6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 asm -cpu_flags_arm_aes -cpu_flags_arm_neon -cpu_flags_arm_sha1 -cpu_flags_arm_sha2 -cpu_flags_ppc_altivec cpu_flags_x86_aes cpu_flags_x86_pclmul -cpu_flags_x86_sha -doc gmp -static-libs -verify-sig dev-libs/npth-1.6-r1:0 -test dev-libs/openssl-1.1.1q:0/1.1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 asm cpu_flags_x86_sse2 -rfc3779 -sctp -sslv3 -static-libs -test -tls-compression -tls-heartbeat -vanilla -verify-sig -verify-sig -weak-ssl-ciphers dev-libs/popt-1.18:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 nls -static-libs dev-perl/Devel-CheckLib-1.140.0:0 -test dev-perl/Encode-EUCJPASCII-0.30.0-r1:0 -test dev-perl/Encode-HanExtra-0.230.0-r3:0 dev-perl/Encode-Locale-1.50.0-r1:0 -test dev-perl/ExtUtils-CChecker-0.110.0:0 -test dev-perl/File-BaseDir-0.90.0:0 -test dev-perl/File-DesktopEntry-0.220.0-r1:0 -test dev-perl/File-Listing-6.150.0:0 -test -test dev-perl/File-MimeInfo-0.300.0:0 -test dev-perl/HTML-Parser-3.760.0:0 -test dev-perl/HTML-Tagset-3.200.0-r2:0 dev-perl/HTTP-Cookies-6.100.0:0 -test dev-perl/HTTP-Date-6.50.0:0 dev-perl/HTTP-Message-6.330.0:0 -test -test dev-perl/HTTP-Negotiate-6.10.0-r2:0 -test dev-perl/IO-HTML-1.4.0:0 -test dev-perl/IO-Socket-INET6-2.720.0-r2:0 -test dev-perl/IO-Socket-SSL-2.74.0:0 -examples -idn -test dev-perl/IPC-System-Simple-1.300.0:0 -test dev-perl/libwww-perl-6.600.0-r1:0 ssl -test dev-perl/Locale-gettext-1.70.0-r1:0 -test dev-perl/LWP-MediaTypes-6.40.0:0 -test dev-perl/LWP-Protocol-https-6.100.0:0 -test dev-perl/MIME-Charset-1.12.2-r1:0 l10n_ja l10n_zh -test dev-perl/Module-Build-0.423.100:0 -test dev-perl/Mozilla-CA-20999999-r1:0 -test dev-perl/Net-HTTP-6.210.0:0 -minimal -test dev-perl/Net-SSLeay-1.920.0:0 -examples -examples -minimal -test dev-perl/Pod-Parser-1.630.0-r1:0 -test dev-perl/SGMLSpm-1.1-r2:0 -test dev-perl/Socket6-0.290.0:0 -test dev-perl/Sub-Name-0.260.0:0 -suggested -test dev-perl/Syntax-Keyword-Try-0.270.0:0 -test dev-perl/TermReadKey-2.380.0:0 -examples -test dev-perl/Text-CharWidth-0.40.0-r2:0 -test dev-perl/Text-WrapI18N-0.60.0-r2:0 -test dev-perl/TimeDate-2.330.0-r1:0 -test dev-perl/Try-Tiny-0.310.0:0 -minimal -test dev-perl/Unicode-LineBreak-2019.1.0:0 dev-perl/URI-5.110.0:0 -test dev-perl/WWW-RobotRules-6.20.0-r2:0 -test dev-perl/XML-Parser-2.460.0-r2:0 dev-perl/XS-Parse-Keyword-0.240.0:0 -test dev-perl/YAML-Tiny-1.730.0-r1:0 -minimal -test dev-python/alabaster-0.7.12-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/appdirs-1.4.4-r2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/autocommand-2.2.1_p20211118:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/Babel-2.10.3:0 -doc python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/backports-zoneinfo-0.2.1-r2:0 python_targets_pypy3 python_targets_python3_8 -test dev-python/certifi-3021.3.16-r2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/charset_normalizer-2.1.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/cython-0.29.32:0 -doc -emacs python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/docutils-0.19:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/ensurepip-pip-22.2.2:0 dev-python/ensurepip-setuptools-65.2.0:0 dev-python/ensurepip-wheels-100:0 dev-python/flit_core-3.7.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/gpep517-9:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/idna-3.3-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/imagesize-1.4.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/importlib_metadata-4.12.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/importlib_resources-5.9.0:0 python_targets_pypy3 python_targets_python3_8 -test dev-python/inflect-6.0.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/installer-0.5.1-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/jaraco-context-4.1.2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/jaraco-functools-3.5.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/jaraco-text-3.9.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/jinja-3.1.2:0 -doc -examples python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/markupsafe-2.1.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/more-itertools-8.14.0:0 -doc python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/nspektr-0.4.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/ordered-set-4.1.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/packaging-21.3-r2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/pydantic-1.10.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/pygments-2.13.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/pyparsing-3.0.9:0 -examples python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/pypy3-7.3.9_p5-r2:0/pypy39-pp73-336 ensurepip gdbm jit ncurses -sqlite -test -tk dev-python/pypy3-exe-7.3.9_p3:3.9-7.3.9 -cpu_flags_x86_sse2 jit -low-memory ncurses dev-python/PySocks-1.7.1-r2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/pytz-2022.2.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/requests-2.28.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -socks5 -test dev-python/setuptools-65.3.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/setuptools_scm-7.0.5:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/six-1.16.0-r1:0 -doc python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/snowballstemmer-2.2.0-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/sphinx-5.1.1:0 -doc -latex python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/sphinxcontrib-applehelp-1.0.2-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/sphinxcontrib-devhelp-1.0.2-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/sphinxcontrib-htmlhelp-2.0.0-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/sphinxcontrib-jsmath-1.0.1-r2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/sphinxcontrib-qthelp-1.0.3-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/sphinxcontrib-serializinghtml-1.1.5-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/tomli-2.0.1-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/typing-extensions-4.3.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/urllib3-1.26.12:0 -brotli python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/wheel-0.37.1-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/zipp-3.8.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-tcltk/expect-5.45.4-r3:0 -debug -doc -threads dev-util/checkbashisms-2.22.2:0 dev-util/cmake-3.24.1:0 -doc -emacs ncurses -qt5 -test -test -verify-sig dev-util/dejagnu-1.6.3:0 -test dev-util/desktop-file-utils-0.26-r2:0 -emacs dev-util/glib-utils-2.72.3:0 python_single_target_python3_10 -python_single_target_python3_11 -python_single_target_python3_8 -python_single_target_python3_9 dev-util/gperf-3.1:0 dev-util/gtk-doc-am-1.33.2:0 dev-util/intltool-0.51.0-r3:0 dev-util/meson-0.63.2:0 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-util/meson-format-array-0:0 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-util/ninja-1.11.1:0 -doc -emacs -test dev-util/pkgconf-1.8.0-r1:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -test dev-util/re2c-2.2:0 -debug -test dev-vcs/git-2.37.3:0 blksha1 -cgi curl -cvs -doc -gnome-keyring gpg -highlight iconv -mediawiki -mediawiki-experimental nls pcre -perforce -perl -ppcsha1 python_single_target_python3_10 -python_single_target_python3_8 -python_single_target_python3_9 safe-directory -selinux -subversion -test threads -tk webdav -xinetd media-fonts/liberation-fonts-2.1.5:0 -X -X -fontforge media-gfx/graphite2-1.3.14_p20210810-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -perl -test media-libs/fontconfig-2.14.0-r1:1.0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -static-libs -test media-libs/freetype-2.12.1:2 -X -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 adobe-cff -brotli bzip2 cleartype-hinting -debug -doc -fontforge harfbuzz -infinality png -static-libs -svg -utils media-libs/harfbuzz-5.1.0:0/4.0.0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 cairo -debug -doc -experimental glib graphite -icu introspection -test truetype media-libs/libpng-1.6.37-r2:0/16 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -apng -cpu_flags_arm_neon cpu_flags_x86_sse -static-libs net-dns/c-ares-1.18.1:0/2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs -test net-dns/libidn2-2.3.3:0/2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 nls -static-libs -verify-sig net-firewall/iptables-1.8.8-r4:0/1.8.3 -conntrack -netlink -nftables -pcap split-usr -static-libs net-libs/gnutls-3.7.7:0/30.30 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -brotli cxx -dane -doc -examples -guile idn nls openssl -pkcs11 seccomp -sslv2 -sslv3 -static-libs -test -test-full tls-heartbeat -tools -valgrind -verify-sig zlib -zstd net-libs/libmnl-1.0.5:0/0.2.0 -examples -verify-sig net-libs/libnsl-2.0.0-r1:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs net-libs/libtirpc-1.3.3:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 ipv6 -kerberos split-usr -static-libs net-libs/nghttp2-1.48.0:0/1.14 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cxx -debug -hpack-tools -jemalloc -static-libs -test threads -utils -xml net-misc/curl-7.85.0-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 adns -alt-svc -brotli -curl_ssl_gnutls -curl_ssl_mbedtls -curl_ssl_nss curl_ssl_openssl ftp -gnutls -gopher -hsts http2 -idn imap ipv6 -kerberos -ldap -mbedtls -nghttp3 -nss openssl pop3 progress-meter -quiche -rtmp -samba smtp -ssh ssl -sslv3 -static-libs -telnet -test tftp -verify-sig -zstd net-misc/dhcpcd-9.4.1:0 -debug embedded ipv6 -privsep udev net-misc/iputils-20211215:0 arping -caps -clockdiff -doc filecaps -idn nls -rarpd -rdisc -static -test -tracepath net-misc/netifrc-0.7.3-r1:0 dhcp net-misc/openssh-9.0_p1-r2:0 -X -X509 -abi_mips_n32 -audit -debug -hpn -kerberos -ldns -libedit -livecd pam pie -sctp -security-key -selinux ssl -static -test -verify-sig -xmss net-misc/rsync-3.2.5-r1:0 acl -examples iconv -lz4 python_single_target_python3_10 -python_single_target_python3_8 -python_single_target_python3_9 ssl -stunnel -system-zlib -verify-sig xattr -xxhash -zstd net-misc/wget-1.21.3-r1:0 -cookie-check -debug -gnutls -idn ipv6 -metalink nls -ntlm pcre ssl -static -test -uuid -verify-sig zlib perl-core/Compress-Raw-Zlib-2.202.0:0 perl-core/File-Temp-0.231.100:0 sci-electronics/iverilog-11.0:0 sci-electronics/systemc-2.3.4-r2:0 -debug -doc -examples -static-libs sec-keys/openpgp-keys-gentoo-release-20220101:0 -test sys-apps/acl-2.3.1-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 nls split-usr -static-libs sys-apps/attr-2.5.1-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug nls split-usr -static-libs sys-apps/baselayout-2.8-r2:0 -build split-usr sys-apps/coreutils-9.1-r1:0 acl -caps -gmp -hostname -kill -multicall nls -selinux split-usr -static -test -vanilla -verify-sig xattr sys-apps/dbus-1.14.0-r4:0 -X -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug -doc elogind -selinux -static-libs -systemd -test -test sys-apps/debianutils-5.7:0 installkernel -static sys-apps/diffutils-3.8:0 nls -static -verify-sig sys-apps/file-5.42-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 bzip2 -lzma -python python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -seccomp -static-libs -verify-sig zlib sys-apps/findutils-4.9.0:0 nls -selinux -static -test -verify-sig sys-apps/gawk-5.1.1-r2:0 -mpfr nls readline -verify-sig sys-apps/gentoo-functions-0.17:0 sys-apps/grep-3.8:0 nls pcre -static -verify-sig sys-apps/groff-1.22.4:0 -X -examples -uchardet sys-apps/help2man-1.49.2:0 nls sys-apps/install-xattr-0.8:0 sys-apps/iproute2-5.19.0-r2:0 -atm -berkdb -bpf -caps -elf iptables -libbsd -minimal -nfs -selinux split-usr sys-apps/kbd-2.5.1:0 nls pam -test sys-apps/kmod-30:0 -debug -doc lzma -pkcs7 -python python_targets_python3_10 python_targets_python3_8 python_targets_python3_9 -static-libs tools zlib zstd sys-apps/less-608:0 pcre unicode sys-apps/man-db-2.10.2-r1:0 manpager nls seccomp -selinux -static-libs zlib sys-apps/man-pages-5.13:0 l10n_de l10n_es l10n_fr l10n_it l10n_ja l10n_nl l10n_pl l10n_pt-BR l10n_ro l10n_ru l10n_zh-CN sys-apps/man-pages-posix-2017a:0 sys-apps/miscfiles-1.5-r4:0 -minimal sys-apps/net-tools-2.10:0 arp hostname ipv6 -nis nls -plipconfig -selinux -slattach -static sys-apps/openrc-0.45.2:0 -audit -bash -debug ncurses netifrc -newnet pam -selinux -sysv-utils unicode sys-apps/portage-3.0.35:0 -apidoc -build -doc -gentoo-dev ipc native-extensions python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 rsync-verify -selinux -test xattr sys-apps/sandbox-2.29:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 abi_x86_32 abi_x86_64 -abi_x86_x32 nnp sys-apps/sed-4.8:0 acl nls -selinux -static -verify-sig sys-apps/shadow-4.12.3:0/4 acl -audit -bcrypt -cracklib nls pam -selinux -skey split-usr -su -verify-sig xattr sys-apps/systemd-utils-251.4-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 acl -boot kmod -selinux split-usr -split-usr -sysusers -test tmpfiles udev sys-apps/sysvinit-3.05:0 -ibm nls -selinux -static -verify-sig sys-apps/texinfo-6.8:0 nls standalone -static sys-apps/util-linux-2.38.1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -audit -build -caps cramfs -cryptsetup -fdformat hardlink -kill logger -magic ncurses nls pam -python python_targets_python3_10 python_targets_python3_8 python_targets_python3_9 readline -rtas -selinux -slang split-usr -static-libs su suid -systemd -test -tty-helpers -udev unicode -verify-sig sys-apps/which-2.21:0 sys-auth/elogind-246.10-r2:0 acl -audit cgroup-hybrid -debug -doc pam policykit -selinux -test sys-auth/pambase-20220214:0 -caps -debug elogind -gnome-keyring -homed -minimal -mktemp nullok -pam_krb5 -pam_ssh passwdqc -pwhistory -pwquality -securetty -selinux sha512 -systemd -yescrypt sys-auth/passwdqc-2.0.2-r1:0 sys-auth/polkit-121:0 duktape -examples -gtk introspection -kde pam -selinux -systemd -test sys-devel/autoconf-2.71-r1:2.71 -emacs sys-devel/autoconf-archive-2022.09.03:0 sys-devel/autoconf-wrapper-20220130:0 sys-devel/automake-1.16.5:1.16 -test sys-devel/automake-wrapper-11-r1:0 sys-devel/binutils-2.39-r1:2.39 -cet -default-gold -doc -gold -gprofng -multitarget nls -pgo plugins -static-libs -test -vanilla sys-devel/binutils-config-5.4.1:0 native-symlinks sys-devel/bison-3.8.2:0 -examples nls -static -test -verify-sig sys-devel/flex-2.6.4-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 nls -static -test sys-devel/gcc-12.2.0:12 -ada -cet -custom-cflags cxx -d -debug -doc -fixed-point fortran -go graphite -hardened -jit -libssp lto multilib nls nptl -objc -objc++ -objc-gc openmp -pch -pgo pie sanitize ssp -systemtap -test -valgrind -vanilla -vtv -zstd sys-devel/gcc-config-2.5-r1:0 cc-wrappers native-symlinks sys-devel/gettext-0.21-r4:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 acl -cvs cxx -doc -emacs -git -java -java ncurses nls openmp -static-libs -verify-sig sys-devel/gnuconfig-20220508:0 sys-devel/libtool-2.4.7:2 -vanilla sys-devel/m4-1.4.19:0 -examples nls -verify-sig sys-devel/make-4.3:0 -guile nls -static -verify-sig sys-devel/patch-2.7.6-r4:0 -static -test -verify-sig xattr sys-fs/e2fsprogs-1.46.5-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cron -fuse -lto nls split-usr -static-libs -test threads tools sys-fs/udev-init-scripts-35:0 sys-kernel/installkernel-gentoo-5:0 -grub sys-kernel/linux-headers-5.19:0 -headers-only sys-libs/binutils-libs-2.39-r1:0/2.39 -64-bit-bfd -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cet -multitarget nls -static-libs sys-libs/gdbm-1.23:0/6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 berkdb nls readline -static-libs -verify-sig sys-libs/glibc-2.35-r8:2.2 -audit -caps -cet clone3 -compile-locales -crypt -custom-cflags -doc -experimental-loong -gd -headers-only multiarch multilib -multilib-bootstrap -nscd -profile -selinux ssp stack-realign static-libs -suid -systemd -systemtap -test -vanilla sys-libs/libcap-2.65:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 pam split-usr -static-libs -tools sys-libs/libseccomp-2.5.4:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -python python_targets_python3_10 python_targets_python3_8 python_targets_python3_9 -static-libs -test sys-libs/libxcrypt-4.4.28-r1:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 abi_x86_32 abi_x86_64 -abi_x86_x32 compat split-usr -static-libs system -test sys-libs/ncurses-6.3_p20220827:0/6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -ada cxx -debug -doc -gpm -minimal -profile split-usr stack-realign -static-libs -test tinfo -trace -verify-sig sys-libs/pam-1.5.2-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -audit -berkdb -debug filecaps -nis -selinux split-usr sys-libs/readline-8.1_p2-r1:0/8 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 split-usr -static-libs unicode -utils -verify-sig sys-libs/timezone-data-2022c:0 -leaps-timezone nls -zic-slim sys-libs/zlib-1.2.12-r3:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 minizip split-usr -static-libs -verify-sig sys-process/procps-3.3.17-r1:0/8 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 elogind kill -modern-top ncurses nls -selinux split-usr -static-libs -systemd -test unicode sys-process/psmisc-23.4-r1:0 -X ipv6 nls -selinux sys-process/time-1.9:0 virtual/acl-0-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs virtual/awk-1:0 virtual/dev-manager-0-r2:0 virtual/editor-0-r3:0 virtual/libc-1-r1:0 virtual/libcrypt-2:0/2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs virtual/libelf-3-r1:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 virtual/libiconv-0-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 virtual/libintl-0-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 virtual/libudev-232-r7:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -systemd virtual/man-0-r4:0 virtual/os-headers-0-r2:0 virtual/package-manager-1:0 virtual/pager-0-r1:0 virtual/perl-Carp-1.520.0-r2:0 virtual/perl-Compress-Raw-Bzip2-2.103.0-r2:0 virtual/perl-Compress-Raw-Zlib-2.202.0:0 virtual/perl-CPAN-2.330.0:0 virtual/perl-CPAN-Meta-2.150.10-r6:0 virtual/perl-CPAN-Meta-Requirements-2.140.0-r8:0 virtual/perl-CPAN-Meta-YAML-0.18.0-r8:0 virtual/perl-Data-Dumper-2.184.0:0 virtual/perl-Digest-MD5-2.580.0-r1:0 virtual/perl-Encode-3.170.0:0 virtual/perl-Exporter-5.770.0:0 virtual/perl-ExtUtils-CBuilder-0.280.236-r1:0 virtual/perl-ExtUtils-Install-2.200.0-r1:0 virtual/perl-ExtUtils-MakeMaker-7.640.0:0 virtual/perl-ExtUtils-Manifest-1.730.0-r1:0 virtual/perl-ExtUtils-ParseXS-3.450.0:0 virtual/perl-File-Path-2.180.0-r1:0 virtual/perl-File-Spec-3.840.0:0 virtual/perl-File-Temp-0.231.100:0 virtual/perl-Getopt-Long-2.520.0-r1:0 virtual/perl-IO-1.500.0:0 virtual/perl-IO-Compress-2.106.0:0 virtual/perl-IO-Socket-IP-0.410.0-r1:0 virtual/perl-JSON-PP-4.70.0:0 virtual/perl-libnet-3.140.0:0 ssl virtual/perl-MIME-Base64-3.160.0-r1:0 virtual/perl-Module-Metadata-1.0.37-r2:0 virtual/perl-parent-0.238.0-r2:0 virtual/perl-Parse-CPAN-Meta-2.150.10-r6:0 virtual/perl-Perl-OSType-1.10.0-r6:0 virtual/perl-podlators-4.140.0-r3:0 virtual/perl-Scalar-List-Utils-1.620.0:0 virtual/perl-Test-Harness-3.440.0:0 virtual/perl-Text-ParseWords-3.310.0:0 virtual/perl-Time-Local-1.300.0-r1:0 virtual/perl-version-0.992.900:0 virtual/perl-XSLoader-0.310.0:0 virtual/pkgconfig-2-r1:0 virtual/service-manager-1:0 virtual/ssh-0-r1:0 -minimal virtual/tmpfiles-0-r3:0 virtual/ttf-fonts-1-r1:0 virtual/udev-217-r5:0 virtual/w3m-1:0 virtual/yacc-0:0 www-client/pybugz-0.13-r2:0 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 www-client/w3m-0.5.3_p20220429:0 -X -fbcon -gdk-pixbuf -gpm -imlib l10n_ja -lynxkeymap nls -nntp ssl unicode -xface x11-apps/xprop-1.2.5:0 x11-apps/xset-1.2.4-r1:0 x11-base/xcb-proto-1.15.2:0 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 x11-base/xorg-proto-2022.2:0 -test x11-libs/cairo-1.16.0-r5:0 X -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -aqua -debug -gles2-only glib -opengl -static-libs svg -utils -valgrind x11-libs/libICE-1.0.10-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 ipv6 x11-libs/libSM-1.2.3-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc ipv6 uuid x11-libs/libX11-1.8.1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -test x11-libs/libXau-1.0.10:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc x11-libs/libxcb-1.15-r1:0/1.12 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -doc -selinux -test xkb x11-libs/libXdmcp-1.1.3-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc x11-libs/libXext-1.3.4:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc x11-libs/libXmu-1.1.3:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc ipv6 x11-libs/libXrender-0.9.10-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 x11-libs/libXt-1.2.1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -test x11-libs/pixman-0.40.0:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cpu_flags_arm_iwmmxt -cpu_flags_arm_iwmmxt2 -cpu_flags_arm_neon -cpu_flags_ppc_altivec cpu_flags_x86_mmxext cpu_flags_x86_sse2 cpu_flags_x86_ssse3 -loongson2f -static-libs -test x11-libs/xtrans-1.4.0:0 -doc x11-misc/compose-tables-1.8.1:0 x11-misc/shared-mime-info-2.2:0 -test x11-misc/xdg-utils-1.1.3_p20210805:0 -dbus -doc -gnome ####################### # build.log # ####################### >>> Unpacking source... >>> Unpacking bluespec-2022.01.tar.gz to /var/tmp/portage/sci-electronics/bluespec-2022.01/work >>> Unpacking yices-2.6.4.tar.gz to /var/tmp/portage/sci-electronics/bluespec-2022.01/work >>> Source unpacked in /var/tmp/portage/sci-electronics/bluespec-2022.01/work >>> Preparing source in /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01 ... * Applying bluespec-2022.01-libstp-stub-makefile.patch ... [ ok ] >>> Source prepared. >>> Configuring source in /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01 ... >>> Source configured. >>> Compiling source in /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01 ... make -j46 NO_DEPS_CHECKS=1 LDCONFIG=ldconfig STP_STUB=1 NOASCIIDOCTOR=1 install-src make -C src PREFIX=/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/inst install make[1]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src' egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E make -C vendor/stp PREFIX=/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/inst install egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E make[2]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/stp' egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E make -C src_stub install egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E make[3]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/stp/src_stub' egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E cc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -c -o stp_stub.o stp_stub.c egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E cc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -shared -Wl,-soname,libstp_stub.so -o libstp_stub.so stp_stub.o egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E mkdir -p ../lib egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E cp libstp_stub.so ../lib/ ln -fsn libstp.so.1 ../lib/libstp.so ln -fsn libstp_stub.so ../lib/libstp.so.1 mkdir -p ../include cp stp_c_interface.h ../include/ make[3]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/stp/src_stub' ln -fsn HaskellIfc include_hs install -m 755 -d /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/inst/lib/SAT install -m 644 lib/libstp_stub.so lib/libstp.so.1 /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/inst/lib/SAT make[2]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/stp' make -C vendor/yices PREFIX=/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/inst install make[2]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices' egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E make -C v2.6 install egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E make[3]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6' egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E (cd yices2 ; \ autoconf ; \ ./configure --prefix=/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst ; \ make ; \ make install \ ) egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E configure.ac:79: warning: The macro `AC_PROG_CC_C99' is obsolete. configure.ac:79: You should run autoupdate. ./lib/autoconf/c.m4:1659: AC_PROG_CC_C99 is expanded from... configure.ac:79: the top level configure.ac:909: warning: AC_C_BIGENDIAN should be used with AC_CONFIG_HEADERS checking build system type... x86_64-pc-linux-gnu checking whether make sets $(MAKE)... yes checking for a sed that does not truncate output... /bin/sed checking whether ln -s works... yes checking for a race-free mkdir -p... /bin/mkdir -p checking for a BSD-compatible install... /usr/lib/portage/python3.10/ebuild-helpers/xattr/install -c checking for gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether the compiler supports GNU C... yes checking whether gcc accepts -g... yes checking for gcc option to enable C11 features... none needed checking for ranlib... ranlib checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ld... ld checking for ar... ar checking for strip... strip checking for gperf... gperf checking gperf version... 3.1 checking whether gcc accepts option -fno-stack-protector... yes checking for stdio.h... yes checking for stdlib.h... yes checking for string.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for strings.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for unistd.h... yes checking whether byte ordering is bigendian... no configure: Trying to find a usable libgmp.a configure: Searching in /usr/x86_64-pc-linux-gnu/lib64 /usr/lib64/binutils/x86_64-pc-linux-gnu/2.3964 /usr/local/lib64 /lib64 /usr/lib64 /usr/x86_64-pc-linux-gnu/lib /usr/lib64/binutils/x86_64-pc-linux-gnu/2.39 /usr/local/lib /lib /usr/lib /usr/local/lib /usr/lib /lib checking for libgmp.a in /usr/x86_64-pc-linux-gnu/lib64... no checking for libgmp.a in /usr/lib64/binutils/x86_64-pc-linux-gnu/2.3964... no checking for libgmp.a in /usr/local/lib64... no checking for libgmp.a in /lib64... no checking for libgmp.a in /usr/lib64... no checking for libgmp.a in /usr/x86_64-pc-linux-gnu/lib... no checking for libgmp.a in /usr/lib64/binutils/x86_64-pc-linux-gnu/2.39... no checking for libgmp.a in /usr/local/lib... no checking for libgmp.a in /lib... no checking for libgmp.a in /usr/lib... no checking for libgmp.a in /usr/local/lib... no checking for libgmp.a in /usr/lib... no checking for libgmp.a in /lib... no configure: WARNING: *** No usable libgmp.a library was found *** checking for main in -lm... yes checking for __gmpz_cmp in -lgmp... yes configure: creating ./config.status config.status: creating make.include configure: Moving make.include to configs/make.include.x86_64-pc-linux-gnu make[4]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4' Mode: release Platform: x86_64-pc-linux-gnu make[5]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4' /bin/mkdir -p build/x86_64-pc-linux-gnu-release /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mt /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/io /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/terms /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/utils /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/solvers /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/solvers/funs /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/solvers/bv /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/solvers/egraph /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/solvers/simplex /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/solvers/quant /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/parser_utils /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/model /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/scratch /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/api /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/frontend /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/frontend/common /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/frontend/smt1 /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/frontend/yices /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/frontend/smt2 /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/context /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/exists_forall /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mcsat /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mcsat/eq /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mcsat/uf /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mcsat/bool /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mcsat/ite /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mcsat/nra /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mcsat/bv /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mcsat/bv/explain /bin/mkdir -p build/x86_64-pc-linux-gnu-release/obj/mcsat/utils /bin/mkdir -p build/x86_64-pc-linux-gnu-release/lib /bin/mkdir -p build/x86_64-pc-linux-gnu-release/bin ./utils/make_source_version ./src/api/yices_version_template.txt src/api/yices_release_version.c 2.6.4 release x86_64-pc-linux-gnu /bin/mkdir -p build/x86_64-pc-linux-gnu-release/dist === Preparing binary distribution === make[6]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4/src' Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/api/yices_release_version.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smtcomp.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smt2_mt.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smt2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smt.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_sat_new.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_sat.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/union_find.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/timeout.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/string_hash_map.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_sets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/command_line.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/memsize.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/arith_buffers.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex_prop_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/int_constraint_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/rdl_fw_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_solver_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/dsolver_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/idl_fw_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/smt_core_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/sat_solver.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvsolver_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/clause_pool.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/scratch/bool_vartable.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/scratch/update_graph.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/scratch/booleq_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/small_bvsets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/rb_bvsets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/large_bvsets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_reval.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_help.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/labeled_assertions.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/arith_solver_codes.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_type_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_term_stack.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_symbol_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_parser.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_model_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_lexer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_expressions.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_commands.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/parenthesized_expr.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_term_stack.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_parser.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_lexer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/tables.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/parameters.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/named_term_stacks.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/bug_report.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/assumptions_and_core.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/assumption_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/internalization_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/dump_context.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/context_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/context_parameters.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/mcsat/options.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/mcsat/no_mcsat.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_learner.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/vector_hash_map.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/use_vectors.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_rbtrees.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_array_sort2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_array_sort.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/tuple_hash_map.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/symbol_tables.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/tag_map.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/string_utils.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/string_buffers.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/stable_sort.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/sparse_arrays.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/simple_int_stack.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/simple_cache.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/resize_arrays.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/refcount_int_arrays.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/refcount_strings.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_vectors.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_stack.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_sets2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_sets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_queues.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_heap.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_hash_classes.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_array_sort.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_hash_map.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_partitions.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/pointer_vectors.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_array_sort2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_map2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_map.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/object_stores.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/object_stack.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/memalloc.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_vectors.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/mark_vectors.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_stack.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_powers.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_queues.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_partitions.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_heap2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_heap.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_tables.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_sets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_map.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_map2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_classes.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_harray_store.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_bv_sets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_sort.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_bags.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_sort2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_hsets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/index_vectors.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/hash_functions.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/gcd.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/generic_heap.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/dep_tables.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/cputime.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/csets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/backtrack_int_hash_map.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/cache.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/arena.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/utils/backtrack_arrays.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/types.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/variable_renaming.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/term_utils.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/term_substitution.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/term_sets.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/terms.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/term_explorer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/subst_context.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/term_manager.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/subst_cache.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/renaming_context.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/rba_buffer_terms.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/rationals.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/rational_hash_maps.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/pprod_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/power_products.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/polynomials.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/poly_buffer_terms.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/mpq_stores.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/poly_buffer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/mpq_aux.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/ite_stack.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/full_subst.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/int_rational_hash_maps.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/free_var_collector.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/extended_rationals.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/elim_subst.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/conditionals.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_slices.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bvpoly_buffers.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_polynomials.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bvlogic_buffers.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bvfactor_buffers.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_constants.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith_buffer_terms.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith_buffers.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith64_buffer_terms.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith64_buffers.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_polynomials.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_interval_abstraction.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_constants.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bit_term_conversion.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/bit_expr.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/terms/balanced_arith_buffers.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_solver.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_ematching.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_execute.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_compile.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instr_stack.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instance.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instr.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/term_learner.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/cnstr_learner.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_pattern.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_cnstr.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ef_problem.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ef_parameters.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_parameters.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/matrices.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/integrality_constraints.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/offset_equalities.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/gomory_cuts.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/diophantine_systems.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/arith_vartable.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/arith_atomtable.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/stratification.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_solver.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_level.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/rdl_floyd_warshall.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/idl_floyd_warshall.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/theory_explanations.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/dl_vartable.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_utils.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_explanations.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/diseq_stacks.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_assertion_queues.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/composites.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/wide_truth_tables.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/truth_tables.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/smt_core.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_sat_solver.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gate_hash_map.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gates.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gate_hash_map2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_hash_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_manager.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/delegate.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/remap_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/merge_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/dimacs_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_vartable.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvsolver.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvpoly_dag.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvpoly_compiler.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_intervals.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvexp_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvconst_hmap.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_atomtable.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv64_intervals.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bit_blaster.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/term_stack2.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/term_stack_error.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/parser.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/lexer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/val_to_term.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/term_to_val.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/mt/yices_locks.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/projection.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/presburger.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/models.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/model_support.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/model_queries.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/model_eval.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/map_to_model.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/literal_collector.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/generalization.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/fun_maps.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/fun_trees.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/fresh_value_maker.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/concrete_values.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/abstract_values.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/model/arith_projection.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/writer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/yices_pp.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/type_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/tracer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/term_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/simple_printf.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/reader.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/pretty_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/model_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/io/concrete_value_printer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_parser.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_lexer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/attribute_values.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/efsolver.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_skolemize.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_values.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_analyze.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_client.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/quant_context.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/quant_context_utils.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/symmetry_breaking.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/shared_terms.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/pseudo_subst.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/ite_flattener.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/internalization_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/eq_learner.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/eq_abstraction.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/divmod_table.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/context_solver.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/context_utils.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/context_statistics.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/context.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/context_simplifier.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/common_conjuncts.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/conditional_definitions.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/context/assumption_stack.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/api/yval.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/api/yices_error_report.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/api/yices_error.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/api/yices_api.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/api/smt_logic_codes.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/api/context_config.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/api/search_parameters.d gperf -C -L ANSI-C -W smt2_tk -H hash_tk -E --output-file=frontend/smt2/smt2_hash_tokens.h \ --lookup-function-name=in_smt2_tk frontend/smt2/smt2_tokens.txt gperf -C -L ANSI-C -W smt2_kw -H hash_kw -E --output-file=frontend/smt2/smt2_hash_keywords.h \ --lookup-function-name=in_smt2_kw frontend/smt2/smt2_keywords.txt gperf -C -L ANSI-C -W smt2_sym -H hash_sym -E --output-file=frontend/smt2/smt2_hash_symbols.h \ --lookup-function-name=in_smt2_sym frontend/smt2/smt2_symbols.txt gperf -C -L ANSI-C -W smt_kw --output-file=frontend/smt1/smt_hash_keywords.h \ --lookup-function-name=in_smt_kw frontend/smt1/smt_keywords.txt gperf -C -L ANSI-C -W yices_kw --output-file=frontend/yices/yices_hash_keywords.h \ --lookup-function-name=in_yices_kw frontend/yices/yices_keywords.txt Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_lexer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_lexer.d Building dependency file ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_lexer.d gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c api/context_config.c -o ../build/x86_64-pc-linux-gnu-release/obj/api/context_config.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c api/search_parameters.c -o ../build/x86_64-pc-linux-gnu-release/obj/api/search_parameters.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c api/smt_logic_codes.c -o ../build/x86_64-pc-linux-gnu-release/obj/api/smt_logic_codes.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c api/yices_api.c -o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_api.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c api/yices_error.c -o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_error.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c api/yices_error_report.c -o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_error_report.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c api/yval.c -o ../build/x86_64-pc-linux-gnu-release/obj/api/yval.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/assumption_stack.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/assumption_stack.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/common_conjuncts.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/common_conjuncts.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/conditional_definitions.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/conditional_definitions.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/context.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/context.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/context_simplifier.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/context_simplifier.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/context_solver.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/context_solver.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/context_statistics.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/context_statistics.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/context_utils.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/context_utils.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/divmod_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/divmod_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/eq_abstraction.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/eq_abstraction.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/eq_learner.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/eq_learner.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/internalization_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/internalization_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/ite_flattener.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/ite_flattener.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/pseudo_subst.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/pseudo_subst.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/shared_terms.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/shared_terms.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/symmetry_breaking.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/symmetry_breaking.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/quant_context_utils.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/quant_context_utils.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/quant_context.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/quant_context.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c exists_forall/ef_client.c -o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_client.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c exists_forall/ef_analyze.c -o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_analyze.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c exists_forall/ef_values.c -o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_values.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c exists_forall/ef_skolemize.c -o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_skolemize.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c exists_forall/efsolver.c -o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/efsolver.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/attribute_values.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/attribute_values.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices/yices_lexer.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_lexer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices/yices_parser.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_parser.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/concrete_value_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/concrete_value_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/model_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/model_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/pretty_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/pretty_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/reader.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/reader.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/simple_printf.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/simple_printf.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/term_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/term_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/tracer.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/tracer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/type_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/type_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/yices_pp.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/yices_pp.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c io/writer.c -o ../build/x86_64-pc-linux-gnu-release/obj/io/writer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/abstract_values.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/abstract_values.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/arith_projection.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/arith_projection.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/concrete_values.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/concrete_values.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/fresh_value_maker.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/fresh_value_maker.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/fun_maps.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/fun_maps.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/fun_trees.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/fun_trees.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/generalization.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/generalization.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/literal_collector.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/literal_collector.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/map_to_model.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/map_to_model.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/model_eval.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/model_eval.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/model_queries.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/model_queries.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/model_support.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/model_support.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/models.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/models.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/presburger.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/presburger.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/projection.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/projection.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/term_to_val.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/term_to_val.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/val_to_term.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/val_to_term.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c mt/yices_locks.c -o ../build/x86_64-pc-linux-gnu-release/obj/mt/yices_locks.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c parser_utils/lexer.c -o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/lexer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c parser_utils/parser.c -o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/parser.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c parser_utils/term_stack2.c -o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/term_stack2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c parser_utils/term_stack_error.c -o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/term_stack_error.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bit_blaster.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bit_blaster.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bv64_intervals.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv64_intervals.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bv_atomtable.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_atomtable.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bvconst_hmap.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvconst_hmap.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bvexp_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvexp_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bv_intervals.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_intervals.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bvpoly_compiler.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvpoly_compiler.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bvpoly_dag.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvpoly_dag.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bvsolver.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvsolver.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bv_vartable.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_vartable.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/dimacs_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/dimacs_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/merge_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/merge_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/remap_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/remap_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/delegate.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/delegate.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/gates_hash_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_hash_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/gates_manager.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_manager.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/new_gates.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gates.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/new_gate_hash_map.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gate_hash_map.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/new_gate_hash_map2.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gate_hash_map2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/new_sat_solver.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_sat_solver.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/smt_core.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/smt_core.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/truth_tables.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/truth_tables.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/wide_truth_tables.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/wide_truth_tables.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/egraph/composites.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/composites.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/egraph/diseq_stacks.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/diseq_stacks.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/egraph/egraph_assertion_queues.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_assertion_queues.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/egraph/egraph.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/egraph/egraph_explanations.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_explanations.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/egraph/egraph_utils.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_utils.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/egraph/theory_explanations.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/theory_explanations.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/floyd_warshall/dl_vartable.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/dl_vartable.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/floyd_warshall/idl_floyd_warshall.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/idl_floyd_warshall.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/floyd_warshall/rdl_floyd_warshall.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/rdl_floyd_warshall.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/funs/fun_level.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_level.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/funs/fun_solver.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_solver.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/funs/stratification.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/stratification.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/arith_atomtable.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/arith_atomtable.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/arith_vartable.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/arith_vartable.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/diophantine_systems.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/diophantine_systems.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/gomory_cuts.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/gomory_cuts.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/integrality_constraints.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/integrality_constraints.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/matrices.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/matrices.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/offset_equalities.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/offset_equalities.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/simplex.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/quant_parameters.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_parameters.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/ef_parameters.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ef_parameters.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/ef_problem.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ef_problem.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/quant_pattern.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_pattern.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/quant_cnstr.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_cnstr.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/cnstr_learner.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/cnstr_learner.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/term_learner.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/term_learner.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/ematch_instr.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instr.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/ematch_instr_stack.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instr_stack.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/ematch_instance.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instance.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/ematch_compile.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_compile.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/ematch_execute.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_execute.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/quant_ematching.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_ematching.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/quant/quant_solver.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_solver.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/balanced_arith_buffers.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/balanced_arith_buffers.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bit_expr.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bit_expr.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bit_term_conversion.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bit_term_conversion.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bv64_interval_abstraction.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_interval_abstraction.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bv64_constants.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_constants.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bv64_polynomials.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_polynomials.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bvarith64_buffers.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith64_buffers.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bvarith64_buffer_terms.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith64_buffer_terms.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bvarith_buffers.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith_buffers.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bvarith_buffer_terms.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith_buffer_terms.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bv_constants.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_constants.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bvfactor_buffers.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvfactor_buffers.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bvlogic_buffers.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvlogic_buffers.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bvpoly_buffers.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvpoly_buffers.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bv_polynomials.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_polynomials.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/bv_slices.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_slices.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/conditionals.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/conditionals.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/elim_subst.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/elim_subst.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/extended_rationals.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/extended_rationals.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/free_var_collector.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/free_var_collector.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/full_subst.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/full_subst.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/int_rational_hash_maps.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/int_rational_hash_maps.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/ite_stack.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/ite_stack.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/mpq_aux.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/mpq_aux.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/mpq_stores.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/mpq_stores.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/poly_buffer.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/poly_buffer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/poly_buffer_terms.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/poly_buffer_terms.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/polynomials.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/polynomials.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/power_products.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/power_products.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/pprod_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/pprod_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/rational_hash_maps.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/rational_hash_maps.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/rationals.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/rationals.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/rba_buffer_terms.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/rba_buffer_terms.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/renaming_context.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/renaming_context.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/subst_cache.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/subst_cache.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/subst_context.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/subst_context.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/term_explorer.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_explorer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/term_manager.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_manager.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/terms.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/terms.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/term_sets.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_sets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/term_substitution.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_substitution.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/term_utils.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_utils.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/types.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/types.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/variable_renaming.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/variable_renaming.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/arena.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/arena.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/backtrack_arrays.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/backtrack_arrays.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/backtrack_int_hash_map.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/backtrack_int_hash_map.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/cache.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/cache.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/csets.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/csets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/cputime.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/cputime.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/dep_tables.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/dep_tables.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/gcd.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/gcd.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/generic_heap.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/generic_heap.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/hash_functions.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/hash_functions.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/index_vectors.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/index_vectors.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_array_hsets.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_hsets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_array_sort2.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_sort2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_array_sort.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_sort.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_bags.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_bags.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_bv_sets.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_bv_sets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_harray_store.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_harray_store.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_hash_classes.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_classes.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_hash_map2.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_map2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_hash_map.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_map.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_hash_sets.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_sets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_hash_tables.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_tables.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_heap2.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_heap2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_heap.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_heap.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_partitions.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_partitions.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_powers.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_powers.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_queues.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_queues.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_stack.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_stack.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/int_vectors.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_vectors.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/mark_vectors.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/mark_vectors.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/memalloc.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/memalloc.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/object_stack.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/object_stack.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/object_stores.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/object_stores.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/pair_hash_map.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_map.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/pair_hash_map2.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_map2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/pointer_vectors.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/pointer_vectors.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_array_sort2.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_array_sort2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_array_sort.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_array_sort.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_hash_classes.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_hash_classes.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_hash_map.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_hash_map.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_heap.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_heap.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_partitions.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_partitions.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_queues.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_queues.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_sets.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_sets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_sets2.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_sets2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_stack.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_stack.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/ptr_vectors.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_vectors.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/refcount_int_arrays.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/refcount_int_arrays.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/refcount_strings.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/refcount_strings.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/resize_arrays.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/resize_arrays.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/simple_cache.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/simple_cache.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/simple_int_stack.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/simple_int_stack.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/sparse_arrays.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/sparse_arrays.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/stable_sort.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/stable_sort.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/string_buffers.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/string_buffers.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/string_utils.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/string_utils.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/symbol_tables.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/symbol_tables.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/tag_map.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/tag_map.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/tuple_hash_map.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/tuple_hash_map.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/uint_array_sort.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_array_sort.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/uint_array_sort2.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_array_sort2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/uint_rbtrees.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_rbtrees.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/use_vectors.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/use_vectors.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/vector_hash_map.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/vector_hash_map.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/uint_learner.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_learner.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c mcsat/no_mcsat.c -o ../build/x86_64-pc-linux-gnu-release/obj/mcsat/no_mcsat.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c mcsat/options.c -o ../build/x86_64-pc-linux-gnu-release/obj/mcsat/options.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/context_parameters.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/context_parameters.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/context_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/context_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/dump_context.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/dump_context.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c context/internalization_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/context/internalization_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/common/assumptions_and_core.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/assumptions_and_core.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/common/assumption_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/assumption_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/common/bug_report.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/bug_report.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/common/named_term_stacks.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/named_term_stacks.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/common/parameters.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/parameters.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/common/tables.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/tables.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt1/smt_lexer.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_lexer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt1/smt_parser.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_parser.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt1/smt_term_stack.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_term_stack.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/parenthesized_expr.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/parenthesized_expr.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/smt2_commands.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_commands.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/smt2_expressions.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_expressions.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/smt2_lexer.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_lexer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/smt2_model_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_model_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/smt2_parser.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_parser.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/smt2_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/smt2_symbol_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_symbol_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/smt2_term_stack.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_term_stack.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/smt2/smt2_type_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_type_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices/arith_solver_codes.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/arith_solver_codes.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices/labeled_assertions.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/labeled_assertions.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices/yices_help.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_help.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices/yices_reval.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_reval.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/large_bvsets.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/large_bvsets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/rb_bvsets.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/rb_bvsets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c model/small_bvsets.c -o ../build/x86_64-pc-linux-gnu-release/obj/model/small_bvsets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c scratch/booleq_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/scratch/booleq_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c scratch/bool_vartable.c -o ../build/x86_64-pc-linux-gnu-release/obj/scratch/bool_vartable.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c scratch/update_graph.c -o ../build/x86_64-pc-linux-gnu-release/obj/scratch/update_graph.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/bv/bvsolver_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvsolver_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/clause_pool.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/clause_pool.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/gates_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/sat_solver.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/sat_solver.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/cdcl/smt_core_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/smt_core_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/egraph/egraph_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/floyd_warshall/idl_fw_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/idl_fw_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/floyd_warshall/rdl_fw_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/rdl_fw_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/funs/fun_solver_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_solver_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/dsolver_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/dsolver_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/int_constraint_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/int_constraint_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/simplex_printer.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex_printer.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c solvers/simplex/simplex_prop_table.c -o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex_prop_table.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c terms/arith_buffers.c -o ../build/x86_64-pc-linux-gnu-release/obj/terms/arith_buffers.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/command_line.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/command_line.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/memsize.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/memsize.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/pair_hash_sets.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_sets.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/string_hash_map.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/string_hash_map.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/timeout.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/timeout.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c utils/union_find.c -o ../build/x86_64-pc-linux-gnu-release/obj/utils/union_find.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c api/yices_release_version.c -o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_version.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices_sat.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_sat.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices_sat_new.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_sat_new.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices_smt.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smt.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices_smt2.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smt2.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices_smt2_mt.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smt2_mt.o gcc -I. -Iinclude -DLINUX -U_FORTIFY_SOURCE -DNDEBUG -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -fPIC -c frontend/yices_smtcomp.c -o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smtcomp.o In function ‘bool_vartable_simplify_and_add_clause’, inlined from ‘bool_vartable_simplify_and_add_unit_clause’ at scratch/bool_vartable.c:647:3: scratch/bool_vartable.c:633:9: warning: array subscript 1 is outside array bounds of â€-Warray-bounds][]] 633 | aux = a[i]; | ~~~~^~~~~~ scratch/bool_vartable.c: In function ‘bool_vartable_simplify_and_add_unit_clause’: scratch/bool_vartable.c:646:83: note: at offset 4 into object ‘l1’ of size 4 646 | le_simplify_and_add_unit_clause(bool_vartable_t *table, literal_t l1) { | ~~~~~~~~~~^~ gcc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0 -shared -o ../build/x86_64-pc-linux-gnu-release/lib/libyices.so.2.6.4 \ -Wl,-soname,libyices.so.2.6 -Wl,--no-undefined \ ../build/x86_64-pc-linux-gnu-release/obj/api/context_config.o ../build/x86_64-pc-linux-gnu-release/obj/api/search_parameters.o ../build/x86_64-pc-linux-gnu-release/obj/api/smt_logic_codes.o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_api.o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_error.o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_error_report.o ../build/x86_64-pc-linux-gnu-release/obj/api/yval.o ../build/x86_64-pc-linux-gnu-release/obj/context/assumption_stack.o ../build/x86_64-pc-linux-gnu-release/obj/context/common_conjuncts.o ../build/x86_64-pc-linux-gnu-release/obj/context/conditional_definitions.o ../build/x86_64-pc-linux-gnu-release/obj/context/context.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_simplifier.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_solver.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_statistics.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_utils.o ../build/x86_64-pc-linux-gnu-release/obj/context/divmod_table.o ../build/x86_64-pc-linux-gnu-release/obj/context/eq_abstraction.o ../build/x86_64-pc-linux-gnu-release/obj/context/eq_learner.o ../build/x86_64-pc-linux-gnu-release/obj/context/internalization_table.o ../build/x86_64-pc-linux-gnu-release/obj/context/ite_flattener.o ../build/x86_64-pc-linux-gnu-release/obj/context/pseudo_subst.o ../build/x86_64-pc-linux-gnu-release/obj/context/shared_terms.o ../build/x86_64-pc-linux-gnu-release/obj/context/symmetry_breaking.o ../build/x86_64-pc-linux-gnu-release/obj/context/quant_context_utils.o ../build/x86_64-pc-linux-gnu-release/obj/context/quant_context.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_client.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_analyze.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_values.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_skolemize.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/efsolver.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/attribute_values.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_lexer.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_parser.o ../build/x86_64-pc-linux-gnu-release/obj/io/concrete_value_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/model_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/pretty_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/reader.o ../build/x86_64-pc-linux-gnu-release/obj/io/simple_printf.o ../build/x86_64-pc-linux-gnu-release/obj/io/term_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/tracer.o ../build/x86_64-pc-linux-gnu-release/obj/io/type_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/yices_pp.o ../build/x86_64-pc-linux-gnu-release/obj/io/writer.o ../build/x86_64-pc-linux-gnu-release/obj/model/abstract_values.o ../build/x86_64-pc-linux-gnu-release/obj/model/arith_projection.o ../build/x86_64-pc-linux-gnu-release/obj/model/concrete_values.o ../build/x86_64-pc-linux-gnu-release/obj/model/fresh_value_maker.o ../build/x86_64-pc-linux-gnu-release/obj/model/fun_maps.o ../build/x86_64-pc-linux-gnu-release/obj/model/fun_trees.o ../build/x86_64-pc-linux-gnu-release/obj/model/generalization.o ../build/x86_64-pc-linux-gnu-release/obj/model/literal_collector.o ../build/x86_64-pc-linux-gnu-release/obj/model/map_to_model.o ../build/x86_64-pc-linux-gnu-release/obj/model/model_eval.o ../build/x86_64-pc-linux-gnu-release/obj/model/model_queries.o ../build/x86_64-pc-linux-gnu-release/obj/model/model_support.o ../build/x86_64-pc-linux-gnu-release/obj/model/models.o ../build/x86_64-pc-linux-gnu-release/obj/model/presburger.o ../build/x86_64-pc-linux-gnu-release/obj/model/projection.o ../build/x86_64-pc-linux-gnu-release/obj/model/term_to_val.o ../build/x86_64-pc-linux-gnu-release/obj/model/val_to_term.o ../build/x86_64-pc-linux-gnu-release/obj/mt/yices_locks.o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/lexer.o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/parser.o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/term_stack2.o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/term_stack_error.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bit_blaster.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv64_intervals.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_atomtable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvconst_hmap.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvexp_table.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_intervals.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvpoly_compiler.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvpoly_dag.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvsolver.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_vartable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/dimacs_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/merge_table.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/remap_table.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/delegate.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_hash_table.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_manager.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gates.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gate_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gate_hash_map2.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_sat_solver.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/smt_core.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/truth_tables.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/wide_truth_tables.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/composites.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/diseq_stacks.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_assertion_queues.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_explanations.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_utils.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/theory_explanations.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/dl_vartable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/idl_floyd_warshall.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/rdl_floyd_warshall.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_level.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_solver.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/stratification.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/arith_atomtable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/arith_vartable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/diophantine_systems.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/gomory_cuts.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/integrality_constraints.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/matrices.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/offset_equalities.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_parameters.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ef_parameters.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ef_problem.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_pattern.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_cnstr.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/cnstr_learner.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/term_learner.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instr.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instr_stack.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instance.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_compile.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_execute.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_ematching.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_solver.o ../build/x86_64-pc-linux-gnu-release/obj/terms/balanced_arith_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bit_expr.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bit_term_conversion.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_interval_abstraction.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_constants.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_polynomials.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith64_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith64_buffer_terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith_buffer_terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_constants.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvfactor_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvlogic_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvpoly_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_polynomials.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_slices.o ../build/x86_64-pc-linux-gnu-release/obj/terms/conditionals.o ../build/x86_64-pc-linux-gnu-release/obj/terms/elim_subst.o ../build/x86_64-pc-linux-gnu-release/obj/terms/extended_rationals.o ../build/x86_64-pc-linux-gnu-release/obj/terms/free_var_collector.o ../build/x86_64-pc-linux-gnu-release/obj/terms/full_subst.o ../build/x86_64-pc-linux-gnu-release/obj/terms/int_rational_hash_maps.o ../build/x86_64-pc-linux-gnu-release/obj/terms/ite_stack.o ../build/x86_64-pc-linux-gnu-release/obj/terms/mpq_aux.o ../build/x86_64-pc-linux-gnu-release/obj/terms/mpq_stores.o ../build/x86_64-pc-linux-gnu-release/obj/terms/poly_buffer.o ../build/x86_64-pc-linux-gnu-release/obj/terms/poly_buffer_terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/polynomials.o ../build/x86_64-pc-linux-gnu-release/obj/terms/power_products.o ../build/x86_64-pc-linux-gnu-release/obj/terms/pprod_table.o ../build/x86_64-pc-linux-gnu-release/obj/terms/rational_hash_maps.o ../build/x86_64-pc-linux-gnu-release/obj/terms/rationals.o ../build/x86_64-pc-linux-gnu-release/obj/terms/rba_buffer_terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/renaming_context.o ../build/x86_64-pc-linux-gnu-release/obj/terms/subst_cache.o ../build/x86_64-pc-linux-gnu-release/obj/terms/subst_context.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_explorer.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_manager.o ../build/x86_64-pc-linux-gnu-release/obj/terms/terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_sets.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_substitution.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_utils.o ../build/x86_64-pc-linux-gnu-release/obj/terms/types.o ../build/x86_64-pc-linux-gnu-release/obj/terms/variable_renaming.o ../build/x86_64-pc-linux-gnu-release/obj/utils/arena.o ../build/x86_64-pc-linux-gnu-release/obj/utils/backtrack_arrays.o ../build/x86_64-pc-linux-gnu-release/obj/utils/backtrack_int_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/cache.o ../build/x86_64-pc-linux-gnu-release/obj/utils/csets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/cputime.o ../build/x86_64-pc-linux-gnu-release/obj/utils/dep_tables.o ../build/x86_64-pc-linux-gnu-release/obj/utils/gcd.o ../build/x86_64-pc-linux-gnu-release/obj/utils/generic_heap.o ../build/x86_64-pc-linux-gnu-release/obj/utils/hash_functions.o ../build/x86_64-pc-linux-gnu-release/obj/utils/index_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_hsets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_sort2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_sort.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_bags.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_bv_sets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_harray_store.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_classes.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_map2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_sets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_tables.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_heap2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_heap.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_partitions.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_powers.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_queues.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_stack.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/mark_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/memalloc.o ../build/x86_64-pc-linux-gnu-release/obj/utils/object_stack.o ../build/x86_64-pc-linux-gnu-release/obj/utils/object_stores.o ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_map2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/pointer_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_array_sort2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_array_sort.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_hash_classes.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_heap.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_partitions.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_queues.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_sets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_sets2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_stack.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/refcount_int_arrays.o ../build/x86_64-pc-linux-gnu-release/obj/utils/refcount_strings.o ../build/x86_64-pc-linux-gnu-release/obj/utils/resize_arrays.o ../build/x86_64-pc-linux-gnu-release/obj/utils/simple_cache.o ../build/x86_64-pc-linux-gnu-release/obj/utils/simple_int_stack.o ../build/x86_64-pc-linux-gnu-release/obj/utils/sparse_arrays.o ../build/x86_64-pc-linux-gnu-release/obj/utils/stable_sort.o ../build/x86_64-pc-linux-gnu-release/obj/utils/string_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/utils/string_utils.o ../build/x86_64-pc-linux-gnu-release/obj/utils/symbol_tables.o ../build/x86_64-pc-linux-gnu-release/obj/utils/tag_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/tuple_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_array_sort.o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_array_sort2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_rbtrees.o ../build/x86_64-pc-linux-gnu-release/obj/utils/use_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/vector_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_learner.o ../build/x86_64-pc-linux-gnu-release/obj/mcsat/no_mcsat.o ../build/x86_64-pc-linux-gnu-release/obj/mcsat/options.o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_version.o -lgmp -lm -lc ar cr ../build/x86_64-pc-linux-gnu-release/lib/libyices.a ../build/x86_64-pc-linux-gnu-release/obj/api/context_config.o ../build/x86_64-pc-linux-gnu-release/obj/api/search_parameters.o ../build/x86_64-pc-linux-gnu-release/obj/api/smt_logic_codes.o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_api.o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_error.o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_error_report.o ../build/x86_64-pc-linux-gnu-release/obj/api/yval.o ../build/x86_64-pc-linux-gnu-release/obj/context/assumption_stack.o ../build/x86_64-pc-linux-gnu-release/obj/context/common_conjuncts.o ../build/x86_64-pc-linux-gnu-release/obj/context/conditional_definitions.o ../build/x86_64-pc-linux-gnu-release/obj/context/context.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_simplifier.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_solver.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_statistics.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_utils.o ../build/x86_64-pc-linux-gnu-release/obj/context/divmod_table.o ../build/x86_64-pc-linux-gnu-release/obj/context/eq_abstraction.o ../build/x86_64-pc-linux-gnu-release/obj/context/eq_learner.o ../build/x86_64-pc-linux-gnu-release/obj/context/internalization_table.o ../build/x86_64-pc-linux-gnu-release/obj/context/ite_flattener.o ../build/x86_64-pc-linux-gnu-release/obj/context/pseudo_subst.o ../build/x86_64-pc-linux-gnu-release/obj/context/shared_terms.o ../build/x86_64-pc-linux-gnu-release/obj/context/symmetry_breaking.o ../build/x86_64-pc-linux-gnu-release/obj/context/quant_context_utils.o ../build/x86_64-pc-linux-gnu-release/obj/context/quant_context.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_client.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_analyze.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_values.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/ef_skolemize.o ../build/x86_64-pc-linux-gnu-release/obj/exists_forall/efsolver.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/attribute_values.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_lexer.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_parser.o ../build/x86_64-pc-linux-gnu-release/obj/io/concrete_value_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/model_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/pretty_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/reader.o ../build/x86_64-pc-linux-gnu-release/obj/io/simple_printf.o ../build/x86_64-pc-linux-gnu-release/obj/io/term_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/tracer.o ../build/x86_64-pc-linux-gnu-release/obj/io/type_printer.o ../build/x86_64-pc-linux-gnu-release/obj/io/yices_pp.o ../build/x86_64-pc-linux-gnu-release/obj/io/writer.o ../build/x86_64-pc-linux-gnu-release/obj/model/abstract_values.o ../build/x86_64-pc-linux-gnu-release/obj/model/arith_projection.o ../build/x86_64-pc-linux-gnu-release/obj/model/concrete_values.o ../build/x86_64-pc-linux-gnu-release/obj/model/fresh_value_maker.o ../build/x86_64-pc-linux-gnu-release/obj/model/fun_maps.o ../build/x86_64-pc-linux-gnu-release/obj/model/fun_trees.o ../build/x86_64-pc-linux-gnu-release/obj/model/generalization.o ../build/x86_64-pc-linux-gnu-release/obj/model/literal_collector.o ../build/x86_64-pc-linux-gnu-release/obj/model/map_to_model.o ../build/x86_64-pc-linux-gnu-release/obj/model/model_eval.o ../build/x86_64-pc-linux-gnu-release/obj/model/model_queries.o ../build/x86_64-pc-linux-gnu-release/obj/model/model_support.o ../build/x86_64-pc-linux-gnu-release/obj/model/models.o ../build/x86_64-pc-linux-gnu-release/obj/model/presburger.o ../build/x86_64-pc-linux-gnu-release/obj/model/projection.o ../build/x86_64-pc-linux-gnu-release/obj/model/term_to_val.o ../build/x86_64-pc-linux-gnu-release/obj/model/val_to_term.o ../build/x86_64-pc-linux-gnu-release/obj/mt/yices_locks.o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/lexer.o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/parser.o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/term_stack2.o ../build/x86_64-pc-linux-gnu-release/obj/parser_utils/term_stack_error.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bit_blaster.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv64_intervals.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_atomtable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvconst_hmap.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvexp_table.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_intervals.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvpoly_compiler.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvpoly_dag.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvsolver.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bv_vartable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/dimacs_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/merge_table.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/remap_table.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/delegate.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_hash_table.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_manager.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gates.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gate_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_gate_hash_map2.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/new_sat_solver.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/smt_core.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/truth_tables.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/wide_truth_tables.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/composites.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/diseq_stacks.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_assertion_queues.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_explanations.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_utils.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/theory_explanations.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/dl_vartable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/idl_floyd_warshall.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/rdl_floyd_warshall.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_level.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_solver.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/stratification.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/arith_atomtable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/arith_vartable.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/diophantine_systems.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/gomory_cuts.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/integrality_constraints.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/matrices.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/offset_equalities.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_parameters.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ef_parameters.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ef_problem.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_pattern.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_cnstr.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/cnstr_learner.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/term_learner.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instr.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instr_stack.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_instance.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_compile.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/ematch_execute.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_ematching.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/quant/quant_solver.o ../build/x86_64-pc-linux-gnu-release/obj/terms/balanced_arith_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bit_expr.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bit_term_conversion.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_interval_abstraction.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_constants.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv64_polynomials.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith64_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith64_buffer_terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvarith_buffer_terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_constants.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvfactor_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvlogic_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bvpoly_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_polynomials.o ../build/x86_64-pc-linux-gnu-release/obj/terms/bv_slices.o ../build/x86_64-pc-linux-gnu-release/obj/terms/conditionals.o ../build/x86_64-pc-linux-gnu-release/obj/terms/elim_subst.o ../build/x86_64-pc-linux-gnu-release/obj/terms/extended_rationals.o ../build/x86_64-pc-linux-gnu-release/obj/terms/free_var_collector.o ../build/x86_64-pc-linux-gnu-release/obj/terms/full_subst.o ../build/x86_64-pc-linux-gnu-release/obj/terms/int_rational_hash_maps.o ../build/x86_64-pc-linux-gnu-release/obj/terms/ite_stack.o ../build/x86_64-pc-linux-gnu-release/obj/terms/mpq_aux.o ../build/x86_64-pc-linux-gnu-release/obj/terms/mpq_stores.o ../build/x86_64-pc-linux-gnu-release/obj/terms/poly_buffer.o ../build/x86_64-pc-linux-gnu-release/obj/terms/poly_buffer_terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/polynomials.o ../build/x86_64-pc-linux-gnu-release/obj/terms/power_products.o ../build/x86_64-pc-linux-gnu-release/obj/terms/pprod_table.o ../build/x86_64-pc-linux-gnu-release/obj/terms/rational_hash_maps.o ../build/x86_64-pc-linux-gnu-release/obj/terms/rationals.o ../build/x86_64-pc-linux-gnu-release/obj/terms/rba_buffer_terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/renaming_context.o ../build/x86_64-pc-linux-gnu-release/obj/terms/subst_cache.o ../build/x86_64-pc-linux-gnu-release/obj/terms/subst_context.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_explorer.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_manager.o ../build/x86_64-pc-linux-gnu-release/obj/terms/terms.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_sets.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_substitution.o ../build/x86_64-pc-linux-gnu-release/obj/terms/term_utils.o ../build/x86_64-pc-linux-gnu-release/obj/terms/types.o ../build/x86_64-pc-linux-gnu-release/obj/terms/variable_renaming.o ../build/x86_64-pc-linux-gnu-release/obj/utils/arena.o ../build/x86_64-pc-linux-gnu-release/obj/utils/backtrack_arrays.o ../build/x86_64-pc-linux-gnu-release/obj/utils/backtrack_int_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/cache.o ../build/x86_64-pc-linux-gnu-release/obj/utils/csets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/cputime.o ../build/x86_64-pc-linux-gnu-release/obj/utils/dep_tables.o ../build/x86_64-pc-linux-gnu-release/obj/utils/gcd.o ../build/x86_64-pc-linux-gnu-release/obj/utils/generic_heap.o ../build/x86_64-pc-linux-gnu-release/obj/utils/hash_functions.o ../build/x86_64-pc-linux-gnu-release/obj/utils/index_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_hsets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_sort2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_array_sort.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_bags.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_bv_sets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_harray_store.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_classes.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_map2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_sets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_hash_tables.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_heap2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_heap.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_partitions.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_powers.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_queues.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_stack.o ../build/x86_64-pc-linux-gnu-release/obj/utils/int_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/mark_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/memalloc.o ../build/x86_64-pc-linux-gnu-release/obj/utils/object_stack.o ../build/x86_64-pc-linux-gnu-release/obj/utils/object_stores.o ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_map2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/pointer_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_array_sort2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_array_sort.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_hash_classes.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_heap.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_partitions.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_queues.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_sets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_sets2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_stack.o ../build/x86_64-pc-linux-gnu-release/obj/utils/ptr_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/refcount_int_arrays.o ../build/x86_64-pc-linux-gnu-release/obj/utils/refcount_strings.o ../build/x86_64-pc-linux-gnu-release/obj/utils/resize_arrays.o ../build/x86_64-pc-linux-gnu-release/obj/utils/simple_cache.o ../build/x86_64-pc-linux-gnu-release/obj/utils/simple_int_stack.o ../build/x86_64-pc-linux-gnu-release/obj/utils/sparse_arrays.o ../build/x86_64-pc-linux-gnu-release/obj/utils/stable_sort.o ../build/x86_64-pc-linux-gnu-release/obj/utils/string_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/utils/string_utils.o ../build/x86_64-pc-linux-gnu-release/obj/utils/symbol_tables.o ../build/x86_64-pc-linux-gnu-release/obj/utils/tag_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/tuple_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_array_sort.o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_array_sort2.o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_rbtrees.o ../build/x86_64-pc-linux-gnu-release/obj/utils/use_vectors.o ../build/x86_64-pc-linux-gnu-release/obj/utils/vector_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/uint_learner.o ../build/x86_64-pc-linux-gnu-release/obj/mcsat/no_mcsat.o ../build/x86_64-pc-linux-gnu-release/obj/mcsat/options.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_parameters.o ../build/x86_64-pc-linux-gnu-release/obj/context/context_printer.o ../build/x86_64-pc-linux-gnu-release/obj/context/dump_context.o ../build/x86_64-pc-linux-gnu-release/obj/context/internalization_printer.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/assumptions_and_core.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/assumption_table.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/bug_report.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/named_term_stacks.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/parameters.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/common/tables.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_lexer.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_parser.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt1/smt_term_stack.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/parenthesized_expr.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_commands.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_expressions.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_lexer.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_model_printer.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_parser.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_printer.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_symbol_printer.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_term_stack.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/smt2/smt2_type_printer.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/arith_solver_codes.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/labeled_assertions.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_help.o ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices/yices_reval.o ../build/x86_64-pc-linux-gnu-release/obj/model/large_bvsets.o ../build/x86_64-pc-linux-gnu-release/obj/model/rb_bvsets.o ../build/x86_64-pc-linux-gnu-release/obj/model/small_bvsets.o ../build/x86_64-pc-linux-gnu-release/obj/scratch/booleq_table.o ../build/x86_64-pc-linux-gnu-release/obj/scratch/bool_vartable.o ../build/x86_64-pc-linux-gnu-release/obj/scratch/update_graph.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/bv/bvsolver_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/clause_pool.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/gates_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/sat_solver.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/cdcl/smt_core_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/egraph/egraph_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/idl_fw_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/floyd_warshall/rdl_fw_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/funs/fun_solver_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/dsolver_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/int_constraint_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex_printer.o ../build/x86_64-pc-linux-gnu-release/obj/solvers/simplex/simplex_prop_table.o ../build/x86_64-pc-linux-gnu-release/obj/terms/arith_buffers.o ../build/x86_64-pc-linux-gnu-release/obj/utils/command_line.o ../build/x86_64-pc-linux-gnu-release/obj/utils/memsize.o ../build/x86_64-pc-linux-gnu-release/obj/utils/pair_hash_sets.o ../build/x86_64-pc-linux-gnu-release/obj/utils/string_hash_map.o ../build/x86_64-pc-linux-gnu-release/obj/utils/timeout.o ../build/x86_64-pc-linux-gnu-release/obj/utils/union_find.o ../build/x86_64-pc-linux-gnu-release/obj/api/yices_version.o ranlib ../build/x86_64-pc-linux-gnu-release/lib/libyices.a gcc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0 \ -o ../build/x86_64-pc-linux-gnu-release/bin/yices ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices.o ../build/x86_64-pc-linux-gnu-release/lib/libyices.a -lgmp -lm gcc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0 \ -o ../build/x86_64-pc-linux-gnu-release/bin/yices_sat ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_sat.o ../build/x86_64-pc-linux-gnu-release/lib/libyices.a -lm gcc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0 \ -o ../build/x86_64-pc-linux-gnu-release/bin/yices_sat_new ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_sat_new.o ../build/x86_64-pc-linux-gnu-release/lib/libyices.a -lm gcc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0 \ -o ../build/x86_64-pc-linux-gnu-release/bin/yices_smt ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smt.o ../build/x86_64-pc-linux-gnu-release/lib/libyices.a -lgmp -lm gcc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0 \ -o ../build/x86_64-pc-linux-gnu-release/bin/yices_smt2 ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smt2.o ../build/x86_64-pc-linux-gnu-release/lib/libyices.a -lgmp -lm gcc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0 \ -o ../build/x86_64-pc-linux-gnu-release/bin/yices_smt2_mt ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smt2_mt.o ../build/x86_64-pc-linux-gnu-release/lib/libyices.a -lgmp -lm gcc -O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -fvisibility=hidden -Wall -Wredundant-decls -O3 -fomit-frame-pointer -fno-stack-protector -Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0 \ -o ../build/x86_64-pc-linux-gnu-release/bin/yices_smtcomp ../build/x86_64-pc-linux-gnu-release/obj/frontend/yices_smtcomp.o ../build/x86_64-pc-linux-gnu-release/lib/libyices.a -lgmp -lm strip -x ../build/x86_64-pc-linux-gnu-release/lib/libyices.so.2.6.4 rm -r -f ../build/x86_64-pc-linux-gnu-release/dist/* mkdir ../build/x86_64-pc-linux-gnu-release/dist/include cp include/*.h ../build/x86_64-pc-linux-gnu-release/dist/include mkdir ../build/x86_64-pc-linux-gnu-release/dist/bin cp ../build/x86_64-pc-linux-gnu-release/bin/yices ../build/x86_64-pc-linux-gnu-release/dist/bin/yices cp ../build/x86_64-pc-linux-gnu-release/bin/yices_smtcomp ../build/x86_64-pc-linux-gnu-release/dist/bin/yices-smt cp ../build/x86_64-pc-linux-gnu-release/bin/yices_smt2 ../build/x86_64-pc-linux-gnu-release/dist/bin/yices-smt2 cp ../build/x86_64-pc-linux-gnu-release/bin/yices_sat ../build/x86_64-pc-linux-gnu-release/dist/bin/yices-sat cp ../build/x86_64-pc-linux-gnu-release/bin/*.dll ../build/x86_64-pc-linux-gnu-release/dist/bin || true cp: cannot stat '../build/x86_64-pc-linux-gnu-release/bin/*.dll': No such file or directory mkdir ../build/x86_64-pc-linux-gnu-release/dist/lib cp ../build/x86_64-pc-linux-gnu-release/lib/* ../build/x86_64-pc-linux-gnu-release/dist/lib strip ../build/x86_64-pc-linux-gnu-release/dist/bin/yices strip ../build/x86_64-pc-linux-gnu-release/dist/bin/yices-smt strip ../build/x86_64-pc-linux-gnu-release/dist/bin/yices-smt2 strip ../build/x86_64-pc-linux-gnu-release/dist/bin/yices-sat make[6]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4/src' make[5]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4' make[4]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4' make[4]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4' Mode: release Platform: x86_64-pc-linux-gnu make[5]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4' /bin/mkdir -p /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/bin /bin/mkdir -p /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/lib /bin/mkdir -p /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/include /usr/lib/portage/python3.10/ebuild-helpers/xattr/install -c -m 664 build/x86_64-pc-linux-gnu-release/dist/include/* /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/include /usr/lib/portage/python3.10/ebuild-helpers/xattr/install -c build/x86_64-pc-linux-gnu-release/dist/bin/* /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/bin /usr/lib/portage/python3.10/ebuild-helpers/xattr/install -c build/x86_64-pc-linux-gnu-release/dist/lib/* /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/lib ldconfig -n /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/lib && (cd /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/lib && ln -s -f libyices.so.2.6.4 libyices.so) make[5]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4' make[4]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/yices2-Yices-2.6.4' ln -fsn /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/include ln -fsn /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6/yices2-inst/lib ln -fsn HaskellIfc include_hs make[3]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices/v2.6' ln -fsn v2.6/include ln -fsn v2.6/lib ln -fsn v2.6/include_hs install -m 755 -d /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/inst/lib/SAT install -m 644 lib/libyices.so.2.6 /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/inst/lib/SAT make[2]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/yices' make -C vendor/htcl PREFIX=/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/inst install make[2]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/htcl' egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E Using tclsh: /usr/bin/tclsh Using tcl include flags: Using tcl library flags: -ltcl8.6 -ltclstub8.6 egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E ghc -Wall -c haskell.c egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E ar -r libhtcl.a haskell.o egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E ar: creating libhtcl.a make[2]: Leaving directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/vendor/htcl' # we need to build targets from here sequentially, as they operate in the same workspace make -C comp -j1 PREFIX=/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/inst install make[2]: Entering directory '/var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src' make[2]: warning: -j1 forced in submake: resetting jobserver mode. egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E Using tclsh: /usr/bin/tclsh Using tcl include flags: Using tcl library flags: -ltcl8.6 -ltclstub8.6 egrep: warning: egrep is obsolescent; using /bin/grep -E Building with GHC 9.0.2 egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E ----- Normal build options ----- ./update-build-version.sh egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E ./update-build-system.sh egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E egrep: warning: egrep is obsolescent; using /bin/grep -E bsc start Tue Sep 6 17:16:47 CEST 2022 ./update-build-version.sh BuildVersion.hs up-to-date ./update-build-system.sh egrep: warning: egrep is obsolescent; using /bin/grep -E BuildSystem.hs up-to-date ghc -Wtabs -fmax-pmcheck-models=800 -hidir /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp -odir /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp -stubdir /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp -main-is Main_bsc \ -O2 -hide-all-packages -fasm -Wall -fno-warn-orphans -fno-warn-name-shadowing -fno-warn-unused-matches -package base -package containers -package array -package mtl -package unix -package regex-compat -package bytestring -package directory -package process -package filepath -package time -package old-time -package old-locale -package split -package syb -package integer-gmp -iGHC -iGHC/posix -iLibs -i../Parsec -i../vendor/stp/include_hs -i../vendor/yices/include_hs -i../vendor/htcl '-tmpdir /tmp' -I../vendor/stp/include -I../vendor/yices/include -L../vendor/htcl -lpthread --make bsc -j1 +RTS -M4G -A128m -RTS "-with-rtsopts=-H256m -K10m -i1" -rtsopts -L../vendor/stp/lib -lstp -L../vendor/yices/lib -lyices [ 1 of 227] Compiling BDD ( BDD.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/BDD.o ) [ 2 of 227] Compiling Bag ( Bag.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Bag.o ) [ 3 of 227] Compiling BinaryIO ( GHC/BinaryIO.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/BinaryIO.o ) [ 4 of 227] Compiling BuildSystem ( BuildSystem.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/BuildSystem.o ) [ 5 of 227] Compiling BuildVersion ( BuildVersion.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/BuildVersion.o ) [ 6 of 227] Compiling EquivalenceClass ( EquivalenceClass.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/EquivalenceClass.o ) [ 7 of 227] Compiling ErrorTCompat ( ErrorTCompat.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/ErrorTCompat.o ) [ 8 of 227] Compiling Exceptions ( Exceptions.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Exceptions.o ) [ 9 of 227] Compiling Fixity ( Fixity.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Fixity.o ) [ 10 of 227] Compiling GraphPaths ( GraphPaths.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/GraphPaths.o ) [ 11 of 227] Compiling IOMutVar ( Libs/IOMutVar.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/IOMutVar.o ) [ 12 of 227] Compiling Classic ( Classic.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Classic.o ) [ 13 of 227] Compiling IOUtil ( Libs/IOUtil.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/IOUtil.o ) [ 14 of 227] Compiling ListMap ( Libs/ListMap.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/ListMap.o ) [ 15 of 227] Compiling ListUtil ( Libs/ListUtil.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/ListUtil.o ) [ 16 of 227] Compiling Log2 ( Log2.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Log2.o ) [ 17 of 227] Compiling Parse ( Libs/Parse.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Parse.o ) [ 18 of 227] Compiling RealUtil ( RealUtil.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/RealUtil.o ) [ 19 of 227] Compiling SEMonad ( SEMonad.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/SEMonad.o ) [ 20 of 227] Compiling STPFFI ( ../vendor/stp/include_hs/STPFFI.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/STPFFI.o ) [ 21 of 227] Compiling Sort ( Libs/Sort.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Sort.o ) [ 22 of 227] Compiling SystemVerilogKeywords ( SystemVerilogKeywords.lhs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/SystemVerilogKeywords.o ) [ 23 of 227] Compiling TmpNam ( GHC/posix/TmpNam.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/TmpNam.o ) [ 24 of 227] Compiling Version ( Version.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Version.o ) [ 25 of 227] Compiling ErrorUtil ( ErrorUtil.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/ErrorUtil.o ) [ 26 of 227] Compiling Util ( Util.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Util.o ) [ 27 of 227] Compiling FileNameUtil ( FileNameUtil.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/FileNameUtil.o ) [ 28 of 227] Compiling SpeedyString ( SpeedyString.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/SpeedyString.o ) [ 29 of 227] Compiling IntegerUtil ( IntegerUtil.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/IntegerUtil.o ) [ 30 of 227] Compiling GHCPretty ( GHCPretty.lhs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/GHCPretty.o ) [ 31 of 227] Compiling Pretty ( Pretty.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Pretty.o ) [ 32 of 227] Compiling PPrint ( PPrint.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/PPrint.o ) [ 33 of 227] Compiling PVPrint ( PVPrint.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/PVPrint.o ) [ 34 of 227] Compiling Intervals ( Intervals.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Intervals.o ) [ 35 of 227] Compiling GraphMap ( GraphMap.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/GraphMap.o ) [ 36 of 227] Compiling FStringCompat ( FStringCompat.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/FStringCompat.o ) [ 37 of 227] Compiling PreStrings ( PreStrings.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/PreStrings.o ) [ 38 of 227] Compiling Eval ( Eval.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Eval.o ) [ 39 of 227] Compiling VFileName ( VFileName.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/VFileName.o ) [ 40 of 227] Compiling Undefined ( Undefined.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Undefined.o ) [ 41 of 227] Compiling Position ( Position.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Position.o ) [ 42 of 227] Compiling MVarStrict ( Libs/MVarStrict.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/MVarStrict.o ) [ 43 of 227] Compiling STP ( ../vendor/stp/include_hs/STP.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/STP.o ) [ 44 of 227] Compiling IntLit ( IntLit.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/IntLit.o ) [ 45 of 227] Compiling Literal ( Literal.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Literal.o ) [ 46 of 227] Compiling Id ( Id.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Id.o ) [ 47 of 227] Compiling Verilog ( Verilog.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Verilog.o ) [ 48 of 227] Compiling VPrims ( VPrims.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/VPrims.o ) [ 49 of 227] Compiling VVerilogDollar ( VVerilogDollar.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/VVerilogDollar.o ) [ 50 of 227] Compiling PreIds ( PreIds.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/PreIds.o ) [ 51 of 227] Compiling NumType ( NumType.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/NumType.o ) [ 52 of 227] Compiling GraphWrapper ( GraphWrapper.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/GraphWrapper.o ) [ 53 of 227] Compiling GraphUtil ( GraphUtil.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/GraphUtil.o ) [ 54 of 227] Compiling ConTagInfo ( ConTagInfo.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/ConTagInfo.o ) [ 55 of 227] Compiling BoolExp ( BoolExp.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/BoolExp.o ) [ 56 of 227] Compiling Backend ( Backend.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Backend.o ) [ 57 of 227] Compiling Flags ( Flags.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/Flags.o ) [ 58 of 227] Compiling PFPrint ( PFPrint.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/PFPrint.o ) [ 59 of 227] Compiling SchedInfo ( SchedInfo.hs, /var/tmp/portage/sci-electronics/bluespec-2022.01/work/bsc-2022.01/src/comp/../../build/comp/SchedInfo.o ) * Skipping make test/check due to ebuild restriction. >>> Test phase [disabled because of RESTRICT=test]: sci-electronics/bluespec-2022.01 >>> Install sci-electronics/bluespec-2022.01 into /var/tmp/portage/sci-electronics/bluespec-2022.01/image >>> Completed installing sci-electronics/bluespec-2022.01 into /var/tmp/portage/sci-electronics/bluespec-2022.01/image * Final size of build directory: 713072 KiB (696.3 MiB) * Final size of installed tree: 164700 KiB (160.8 MiB) * QA Notice: Files built without respecting LDFLAGS have been detected * Please include the following list of files in your report: * /usr/share/bsc/bsc-2022.01/bin/core/bluetcl * /usr/share/bsc/bsc-2022.01/bin/core/bsc * /usr/share/bsc/bsc-2022.01/bin/core/bsc2bsv * /usr/share/bsc/bsc-2022.01/bin/core/bsv2bsc * /usr/share/bsc/bsc-2022.01/bin/core/dumpba * /usr/share/bsc/bsc-2022.01/bin/core/dumpbo * /usr/share/bsc/bsc-2022.01/bin/core/showrules * /usr/share/bsc/bsc-2022.01/bin/core/vcdcheck * /usr/share/bsc/bsc-2022.01/lib/SAT/libstp.so.1 * /usr/share/bsc/bsc-2022.01/lib/SAT/libstp_stub.so * /usr/share/bsc/bsc-2022.01/lib/VPI/libbdpi.so strip: x86_64-pc-linux-gnu-strip --strip-unneeded -N __gentoo_check_ldflags__ -R .comment -R .GCC.command.line -R .note.gnu.gold-version /usr/share/bsc/bsc-2022.01/bin/core/bsc /usr/share/bsc/bsc-2022.01/bin/core/bluetcl /usr/share/bsc/bsc-2022.01/bin/core/bsc2bsv /usr/share/bsc/bsc-2022.01/bin/core/dumpbo /usr/share/bsc/bsc-2022.01/bin/core/dumpba /usr/share/bsc/bsc-2022.01/bin/core/bsv2bsc /usr/share/bsc/bsc-2022.01/bin/core/vcdcheck /usr/share/bsc/bsc-2022.01/lib/SAT/libstp_stub.so /usr/share/bsc/bsc-2022.01/bin/core/showrules /usr/share/bsc/bsc-2022.01/lib/SAT/libstp.so.1 /usr/share/bsc/bsc-2022.01/lib/VPI/libbdpi.so /usr/share/bsc/bsc-2022.01/lib/SAT/libyices.so.2.6 /usr/share/bsc/bsc-2022.01/lib/Bluesim/libbskernel.a /usr/share/bsc/bsc-2022.01/lib/Bluesim/libbsprim.a >>> Done. * Calling eselect bluespec update ... [ ok ]