* Package: sci-electronics/verible-0.0.2152 * Repository: guru * Maintainer: vowstar@gmail.com * USE: abi_x86_64 amd64 elibc_glibc kernel_linux userland_GNU * FEATURES: network-sandbox preserve-libs sandbox userpriv usersandbox @@@@@ PLEASE PAY ATTENTION HERE!!! @@@@@ This information may help you to understand if this is a duplicate or if this bug exists after you pushed a fix; This ebuild was merged at the following commit: https://github.com/gentoo-mirror/gentoo/commit/5dd3500de9ab5ba9751cdcb0982ad3b3afa6af88 (Sat Jul 23 16:46:49 UTC 2022) @@@@@ END @@@@@ @@@@@ PLEASE PAY ATTENTION HERE!!! @@@@@ This information may help you to understand if this is a duplicate or if this bug exists after you pushed a fix; This ebuild was merged at the following commit: https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=7dc466dd9c204d72920f23ccc2ccc069e621e600 (Wed Jul 20 09:40:21 UTC 2022) @@@@@ END @@@@@ ################## # emerge --info: # ################## Portage 3.0.34 (python 3.10.5-final-0, default/linux/amd64/17.1, gcc-12.1.1, glibc-2.35-r8, 4.19.174-gentoo x86_64) ================================================================= System uname: Linux-4.19.174-gentoo-x86_64-Intel-R-_Xeon-R-_CPU_E5-2650_v4_@_2.20GHz-with-glibc2.35 KiB Mem: 264031016 total, 51124004 free KiB Swap: 0 total, 0 free Timestamp of repository gentoo: Sat, 23 Jul 2022 16:46:49 +0000 sh bash 5.1_p16 ld GNU ld (Gentoo 2.38 p4) 2.38 app-misc/pax-utils: 1.3.4::gentoo app-shells/bash: 5.1_p16::gentoo dev-java/java-config: 2.3.1::gentoo dev-lang/perl: 5.36.0::gentoo dev-lang/python: 2.7.18_p15::gentoo, 3.8.13_p2::gentoo, 3.9.13::gentoo, 3.10.5::gentoo, 3.11.0_beta4-r2::gentoo dev-util/cmake: 3.23.2::gentoo dev-util/meson: 0.63.0::gentoo sys-apps/baselayout: 2.8-r2::gentoo sys-apps/openrc: 0.45.2::gentoo sys-apps/sandbox: 2.29::gentoo sys-devel/autoconf: 2.71-r1::gentoo sys-devel/automake: 1.16.5::gentoo sys-devel/binutils: 2.38-r2::gentoo sys-devel/binutils-config: 5.4.1::gentoo sys-devel/gcc: 12.1.1_p20220625::gentoo sys-devel/gcc-config: 2.5-r1::gentoo sys-devel/libtool: 2.4.7::gentoo sys-devel/make: 4.3::gentoo sys-kernel/linux-headers: 5.18-r1::gentoo (virtual/os-headers) sys-libs/glibc: 2.35-r8::gentoo Repositories: gentoo location: /usr/portage sync-type: rsync sync-uri: rsync://rsync.gentoo.org/gentoo-portage priority: -1000 sync-rsync-verify-metamanifest: yes sync-rsync-extra-opts: sync-rsync-verify-jobs: 1 sync-rsync-verify-max-age: 24 guru location: /opt/guru masters: gentoo priority: 0 ACCEPT_KEYWORDS="amd64 ~amd64" ACCEPT_LICENSE="* Apache-2.0" CBUILD="x86_64-pc-linux-gnu" CFLAGS="-O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -flto -Werror=odr -Werror=lto-type-mismatch -Werror=strict-aliasing" CHOST="x86_64-pc-linux-gnu" CONFIG_PROTECT="/etc /usr/share/gnupg/qualified.txt" CONFIG_PROTECT_MASK="/etc/ca-certificates.conf /etc/env.d /etc/fonts/fonts.conf /etc/gconf /etc/gentoo-release /etc/revdep-rebuild /etc/sandbox.d /etc/terminfo" CXXFLAGS="-O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -flto -Werror=odr -Werror=lto-type-mismatch -Werror=strict-aliasing" DISTDIR="/var/tmp/portage/sci-electronics/verible-0.0.2152/distdir" EMERGE_DEFAULT_OPTS="--with-bdeps=y -1 -k -b" ENV_UNSET="CARGO_HOME DBUS_SESSION_BUS_ADDRESS DISPLAY GOBIN GOPATH PERL5LIB PERL5OPT PERLPREFIX PERL_CORE PERL_MB_OPT PERL_MM_OPT XAUTHORITY XDG_CACHE_HOME XDG_CONFIG_HOME XDG_DATA_HOME XDG_RUNTIME_DIR" FCFLAGS="-O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -flto -Werror=odr -Werror=lto-type-mismatch -Werror=strict-aliasing" FEATURES="assume-digests binpkg-docompress binpkg-dostrip binpkg-logs binpkg-multi-instance buildpkg buildpkg-live config-protect-if-modified distlocks ebuild-locks fixlafiles ipc-sandbox merge-sync multilib-strict network-sandbox news parallel-fetch pid-sandbox preserve-libs protect-owned qa-unresolved-soname-deps sandbox sfperms sign split-log strict unknown-features-warn unmerge-logs unmerge-orphans userfetch userpriv usersandbox usersync xattr" FFLAGS="-O2 -pipe -march=x86-64 -frecord-gcc-switches -fno-diagnostics-color -fmessage-length=0 -flto -Werror=odr -Werror=lto-type-mismatch -Werror=strict-aliasing" GENTOO_MIRRORS="http://mirror.leaseweb.com/gentoo/ http://ftp.snt.utwente.nl/pub/os/linux/gentoo/ http://ftp.belnet.be/pub/rsync.gentoo.org/gentoo/ http://distfiles.gentoo.org" LANG="C.UTF8" LDFLAGS="-Wl,-O1 -Wl,--as-needed -Wl,--defsym=__gentoo_check_ldflags__=0" MAKEOPTS="-j4" PKGDIR="/root/tbci/binpkg" PORTAGE_CONFIGROOT="/" PORTAGE_RSYNC_OPTS="--recursive --links --safe-links --perms --times --omit-dir-times --compress --force --whole-file --delete --stats --human-readable --timeout=180 --exclude=/distfiles --exclude=/local --exclude=/packages --exclude=/.git" PORTAGE_TMPDIR="/var/tmp" SHELL="/bin/bash" USE="acl amd64 bzip2 cli crypt dri elogind fortran gdbm iconv ipv6 jumbo-build libglvnd libtirpc multilib native-symlinks ncurses nls nptl openmp pam pcre readline seccomp split-usr ssl unicode xattr zlib" ABI_X86="64" ELIBC="glibc" KERNEL="linux" USERLAND="GNU" Unset: ADDR2LINE, AR, ARFLAGS, AS, ASFLAGS, CC, CCLD, CONFIG_SHELL, CPP, CPPFLAGS, CTARGET, CXX, CXXFILT, ELFEDIT, EXTRA_ECONF, F77FLAGS, FC, GCOV, GPROF, INSTALL_MASK, LC_ALL, LD, LEX, LFLAGS, LIBTOOL, LINGUAS, MAKE, MAKEFLAGS, NM, OBJCOPY, OBJDUMP, PORTAGE_BINHOST, PORTAGE_BUNZIP2_COMMAND, PORTAGE_COMPRESS, PORTAGE_COMPRESS_FLAGS, PORTAGE_RSYNC_EXTRA_OPTS, RANLIB, READELF, RUSTFLAGS, SIZE, STRINGS, STRIP, YACC, YFLAGS ############################## # emerge history (qlop -mv): # ############################## 2022-07-23T18:55:53 >>> app-eselect/eselect-java-0.5.0 2022-07-23T18:55:53 >>> app-arch/zip-3.0-r4 2022-07-23T18:55:54 >>> x11-libs/libXrender-0.9.10-r2 2022-07-23T18:55:54 >>> x11-libs/libXfixes-6.0.0 2022-07-23T18:55:54 >>> app-crypt/p11-kit-0.24.1 2022-07-23T18:56:10 >>> x11-libs/libXi-1.8 2022-07-23T18:56:14 >>> sys-apps/baselayout-java-0.1.0-r1 2022-07-23T18:56:19 >>> x11-libs/libXtst-1.2.3-r2 2022-07-23T18:56:22 >>> dev-java/java-config-2.3.1 2022-07-23T18:56:30 >>> dev-java/openjdk-bin-11.0.15_p10 2022-07-23T18:56:37 >>> virtual/jdk-11-r2 2022-07-23T18:56:50 >>> virtual/jre-11-r2 2022-07-23T18:57:01 >>> dev-util/bazel-5.0.0 ####################################### # installed packages (qlist -ICvUSS): # ####################################### acct-group/audio-0-r1:0 acct-group/cdrom-0-r1:0 acct-group/dialout-0-r1:0 acct-group/disk-0-r1:0 acct-group/input-0-r1:0 acct-group/kmem-0-r1:0 acct-group/kvm-0-r1:0 acct-group/lp-0-r1:0 acct-group/man-0-r1:0 acct-group/messagebus-0-r1:0 acct-group/polkitd-0-r1:0 acct-group/portage-0:0 acct-group/render-0-r1:0 acct-group/sgx-0:0 acct-group/sshd-0-r1:0 acct-group/tape-0-r1:0 acct-group/tty-0-r1:0 acct-group/video-0-r1:0 acct-user/man-1-r1:0 acct-user/messagebus-0-r1:0 acct-user/polkitd-0-r1:0 acct-user/portage-0:0 acct-user/sshd-0-r1:0 app-admin/eselect-1.4.20:0 -doc -emacs -vim-syntax app-admin/perl-cleaner-2.30:0 app-arch/bzip2-1.0.8-r1:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 split-usr -static -static-libs -verify-sig app-arch/gzip-1.12:0 -pic -static -verify-sig app-arch/libarchive-3.6.1:0/13 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 acl -blake2 bzip2 e2fsprogs -expat iconv -lz4 lzma -lzo -nettle -static-libs -verify-sig xattr -zstd app-arch/tar-1.34:0 acl -minimal nls -selinux -verify-sig xattr app-arch/unzip-6.0_p26:0 bzip2 -natspec unicode app-arch/xz-utils-5.2.5-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 extra-filters nls split-usr -static-libs -verify-sig app-arch/zip-3.0-r4:0 bzip2 crypt -natspec unicode app-arch/zstd-1.5.2-r1:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -lz4 split-usr -static-libs threads app-crypt/gnupg-2.3.7-r1:0 bzip2 -doc -ldap nls readline -selinux smartcard ssl -test tofu -tools -tpm -usb -user-socket -verify-sig -wks-server app-crypt/gpgme-1.17.1-r1:1/11.6.15 -common-lisp cxx -python python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -qt5 -static-libs -test -verify-sig app-crypt/libb2-0.98.1-r3:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -native-cflags openmp -static-libs app-crypt/libmd-1.0.4:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 app-crypt/p11-kit-0.24.1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 asn1 -debug libffi -systemd trust app-crypt/pinentry-1.2.0-r1:0 -caps -efl -emacs -gnome-keyring -gtk ncurses -qt5 app-crypt/rhash-1.4.3:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug nls ssl -static-libs app-editors/nano-6.3:0 -debug -justify -magic -minimal ncurses nls spell split-usr -static unicode app-eselect/eselect-fontconfig-20220403:0 app-eselect/eselect-iptables-20220320:0 app-eselect/eselect-java-0.5.0:0 app-eselect/eselect-lib-bin-symlink-0.1.1-r1:0 app-eselect/eselect-pinentry-0.7.2:0 app-i18n/man-pages-ja-20180315-r1:0 app-i18n/man-pages-l10n-4.14.0:0 l10n_cs l10n_da l10n_de l10n_el l10n_es l10n_fi l10n_fr l10n_hu l10n_id l10n_it l10n_mk l10n_nb l10n_nl l10n_pl l10n_pt-BR l10n_ro l10n_sr l10n_sv l10n_uk l10n_vi app-i18n/man-pages-ru-5.03.2390.2390.20191017-r1:0 app-i18n/man-pages-zh_CN-1.6.3.6:0 app-misc/c_rehash-1.7-r1:0 app-misc/ca-certificates-20211016.3.80:0 -cacert app-misc/editor-wrapper-4-r1:0 app-misc/mime-types-2.1.53:0 -nginx app-misc/pax-utils-1.3.4:0 -caps -debug -python python_single_target_python3_10 -python_single_target_python3_8 -python_single_target_python3_9 seccomp app-misc/tmux-3.3a:0 -debug -selinux -systemd -utempter -vim-syntax app-portage/eix-0.36.3:0 -debug -doc nls -sqlite app-portage/elt-patches-20211104:0 app-portage/gemato-16.2:0 gpg python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test -tools app-portage/gentoolkit-0.6.1-r3:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test app-portage/portage-utils-0.94.1:0 nls openmp qmanifest qtegrity -static app-shells/bash-5.1_p16:0 -afs -bashlogger -examples -mem-scramble net nls -plugins readline -verify-sig app-shells/bash-completion-2.11:0 eselect -test app-shells/gentoo-bashcomp-20190211-r1:0 app-shells/push-3.4:0 app-shells/quoter-4.2:0 app-text/ansifilter-2.18:0 -qt5 app-text/build-docbook-catalog-2.3-r1:0 app-text/docbook-xml-dtd-4.5-r2:4.5 app-text/docbook-xml-dtd-4.4-r3:4.4 app-text/docbook-xml-dtd-4.2-r3:4.2 app-text/docbook-xml-dtd-4.1.2-r7:4.1.2 app-text/docbook-xsl-stylesheets-1.79.1-r3:0 -ruby app-text/manpager-1:0 app-text/opensp-1.5.2-r7:0 -doc nls -static-libs -test app-text/po4a-0.66:0 -test -test app-text/sgml-common-0.6.3-r7:0 app-text/xmlto-0.0.28-r8:0 -latex text dev-db/sqlite-3.39.2:3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug -doc -icu readline -secure-delete -static-libs -tcl -test -tools dev-java/java-config-2.3.1:2 python_targets_python3_10 python_targets_python3_8 python_targets_python3_9 -test dev-java/openjdk-bin-11.0.15_p10:11 -alsa -cups -headless-awt -selinux -source dev-lang/duktape-2.7.0-r1:0/2.7.0 dev-lang/perl-5.36.0:0/5.36 -berkdb -debug -doc gdbm ithreads -minimal -quadmath dev-lang/python-3.11.0_beta4-r2:3.11 -bluetooth -build -examples gdbm -hardened -libedit -lto ncurses -pgo readline sqlite ssl -test -tk -verify-sig -wininst dev-lang/python-3.10.5:3.10 -bluetooth -build -examples gdbm -hardened -libedit -lto ncurses -pgo readline sqlite ssl -test -tk -verify-sig -wininst xml dev-lang/python-3.9.13:3.9 -bluetooth -build -examples gdbm -hardened -lto ncurses -pgo readline sqlite ssl -test -tk -verify-sig -wininst xml dev-lang/python-3.8.13_p2:3.8 -bluetooth -build -examples gdbm -hardened ncurses readline sqlite ssl -test -tk -verify-sig -wininst xml dev-lang/python-2.7.18_p15:2.7 -berkdb -bluetooth -build -examples gdbm -hardened ncurses readline sqlite ssl -tk -verify-sig -wininst xml dev-lang/python-exec-2.4.9:2 native-symlinks python_targets_pypy3 python_targets_python3_10 python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-lang/python-exec-conf-2.4.6:2 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-lang/tcl-8.6.12:0/8.6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug threads dev-libs/boehm-gc-8.0.6:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cxx large -static-libs threads dev-libs/elfutils-0.187:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 bzip2 -lzma nls -static-libs -test -threads utils -valgrind -verify-sig -zstd dev-libs/expat-2.4.8:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -examples -static-libs unicode dev-libs/glib-2.72.3:2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -dbus -debug elf -fam -gtk-doc mime -selinux -static-libs -sysprof -systemtap -test -utils xattr dev-libs/gmp-6.2.1-r2:0/10.4 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 asm cxx -doc -pic -static-libs dev-libs/gobject-introspection-1.72.0:0 -doctool -gtk-doc python_single_target_python3_10 -python_single_target_python3_8 -python_single_target_python3_9 -test dev-libs/gobject-introspection-common-1.72.0:0 dev-libs/isl-0.24-r2:0/23 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs dev-libs/jsoncpp-1.9.5:0/25 -doc -test dev-libs/libassuan-2.5.5:0 dev-libs/libatomic_ops-7.6.12:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 dev-libs/libbsd-0.11.6:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs -verify-sig dev-libs/libevent-2.1.12:0/2.1-7 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 clock-gettime -debug -malloc-replacement ssl -static-libs -test threads -verbose-debug dev-libs/libffi-3.4.2-r1:0/8 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 abi_x86_32 abi_x86_64 -abi_x86_x32 -debug -exec-static-trampoline -pax-kernel -static-libs -test dev-libs/libgcrypt-1.10.1-r1:0/20 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 asm -cpu_flags_arm_aes -cpu_flags_arm_neon -cpu_flags_arm_sha1 -cpu_flags_arm_sha2 -cpu_flags_ppc_altivec -cpu_flags_ppc_vsx2 -cpu_flags_ppc_vsx3 cpu_flags_x86_aes cpu_flags_x86_avx cpu_flags_x86_avx2 -cpu_flags_x86_padlock -cpu_flags_x86_sha cpu_flags_x86_sse4_1 -doc -static-libs -verify-sig dev-libs/libgpg-error-1.45:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -common-lisp nls -static-libs -test dev-libs/libksba-1.6.0:0 -static-libs dev-libs/libltdl-2.4.7:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs dev-libs/libpcre-8.45-r1:3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 bzip2 cxx jit -libedit pcre16 pcre32 readline split-usr -static-libs unicode zlib dev-libs/libpcre2-10.40:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 bzip2 jit -libedit pcre16 pcre32 readline split-usr -static-libs unicode -verify-sig zlib dev-libs/libpipeline-1.5.6:0 -test dev-libs/libtasn1-4.18.0:0/6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs -test -valgrind dev-libs/libunistring-1.0:0/2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -static-libs dev-libs/libuv-1.44.2:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 dev-libs/libxml2-2.9.14-r1:2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug -examples -icu -lzma python python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 readline -static-libs -test dev-libs/libxslt-1.1.35:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 crypt -debug -examples -static-libs dev-libs/lzo-2.10:2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -examples split-usr -static-libs dev-libs/mpc-1.2.1:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs dev-libs/mpfr-4.1.0_p13-r1:0/6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs dev-libs/nettle-3.8:0/8-6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 asm -cpu_flags_arm_aes -cpu_flags_arm_neon -cpu_flags_arm_sha1 -cpu_flags_arm_sha2 -cpu_flags_ppc_altivec cpu_flags_x86_aes cpu_flags_x86_pclmul -cpu_flags_x86_sha -doc gmp -static-libs -verify-sig dev-libs/npth-1.6-r1:0 -test dev-libs/openssl-1.1.1q:0/1.1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 asm cpu_flags_x86_sse2 -rfc3779 -sctp -sslv3 -static-libs -test -tls-compression -tls-heartbeat -vanilla -verify-sig -verify-sig -weak-ssl-ciphers dev-libs/popt-1.18:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 nls -static-libs dev-perl/Devel-CheckLib-1.140.0:0 -test dev-perl/Encode-EUCJPASCII-0.30.0-r1:0 -test dev-perl/Encode-HanExtra-0.230.0-r3:0 dev-perl/Encode-Locale-1.50.0-r1:0 -test dev-perl/File-BaseDir-0.90.0:0 -test dev-perl/File-DesktopEntry-0.220.0-r1:0 -test dev-perl/File-Listing-6.150.0:0 -test -test dev-perl/File-MimeInfo-0.300.0:0 -test dev-perl/HTML-Parser-3.760.0:0 -test dev-perl/HTML-Tagset-3.200.0-r2:0 dev-perl/HTTP-Cookies-6.100.0:0 -test dev-perl/HTTP-Date-6.50.0:0 dev-perl/HTTP-Message-6.330.0:0 -test -test dev-perl/HTTP-Negotiate-6.10.0-r2:0 -test dev-perl/IO-HTML-1.4.0:0 -test dev-perl/IO-Socket-INET6-2.720.0-r2:0 -test dev-perl/IO-Socket-SSL-2.74.0:0 -examples -idn -test dev-perl/IPC-System-Simple-1.300.0:0 -test dev-perl/libwww-perl-6.600.0-r1:0 ssl -test dev-perl/Locale-gettext-1.70.0-r1:0 -test dev-perl/LWP-MediaTypes-6.40.0:0 -test dev-perl/LWP-Protocol-https-6.100.0:0 -test dev-perl/MIME-Charset-1.12.2-r1:0 l10n_ja l10n_zh -test dev-perl/Module-Build-0.423.100:0 -test dev-perl/Mozilla-CA-20999999-r1:0 -test dev-perl/Net-HTTP-6.210.0:0 -minimal -test dev-perl/Net-SSLeay-1.920.0:0 -examples -examples -minimal -test dev-perl/Pod-Parser-1.630.0-r1:0 -test dev-perl/SGMLSpm-1.1-r2:0 -test dev-perl/Socket6-0.290.0:0 -test dev-perl/Sub-Name-0.260.0:0 -suggested -test dev-perl/TermReadKey-2.380.0:0 -examples -test dev-perl/Text-CharWidth-0.40.0-r2:0 -test dev-perl/Text-WrapI18N-0.60.0-r2:0 -test dev-perl/TimeDate-2.330.0-r1:0 -test dev-perl/Try-Tiny-0.310.0:0 -minimal -test dev-perl/Unicode-LineBreak-2019.1.0:0 dev-perl/URI-5.110.0:0 -test dev-perl/WWW-RobotRules-6.20.0-r2:0 -test dev-perl/XML-Parser-2.460.0-r2:0 dev-perl/YAML-Tiny-1.730.0-r1:0 -minimal -test dev-python/appdirs-1.4.4-r2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/certifi-3021.3.16-r2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/charset_normalizer-2.1.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/flit_core-3.7.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/gpep517-8:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/idna-3.3-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/importlib_metadata-4.12.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/importlib_resources-5.9.0:0 python_targets_pypy3 python_targets_python3_8 -test dev-python/installer-0.5.1-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/jaraco-context-4.1.2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/jaraco-functools-3.5.1:0 -doc python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/jaraco-text-3.8.1:0 -doc python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/jinja-3.1.2:0 -doc -examples python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/markupsafe-2.1.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/more-itertools-8.13.0:0 -doc python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/nspektr-0.4.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/ordered-set-4.1.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/packaging-21.3-r2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/pyparsing-3.0.9:0 -examples python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/pypy3-7.3.9_p2:0/pypy39-pp73 bzip2 gdbm jit ncurses -sqlite -test -tk dev-python/pypy3-exe-7.3.9:3.9-7.3.9 bzip2 -cpu_flags_x86_sse2 jit -low-memory ncurses dev-python/PySocks-1.7.1-r2:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/requests-2.28.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -socks5 -test dev-python/setuptools-63.2.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/setuptools_scm-7.0.5:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/six-1.16.0-r1:0 -doc python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/tomli-2.0.1-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/typing-extensions-4.3.0:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-python/urllib3-1.26.10:0 -brotli python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/wheel-0.37.1-r1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-python/zipp-3.8.1:0 python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-util/bazel-5.0.0:0 -examples -tools dev-util/checkbashisms-2.22.2:0 dev-util/cmake-3.23.2:0 -doc -emacs ncurses -qt5 -test -test -verify-sig dev-util/desktop-file-utils-0.26-r2:0 -emacs dev-util/glib-utils-2.72.3:0 python_single_target_python3_10 -python_single_target_python3_11 -python_single_target_python3_8 -python_single_target_python3_9 dev-util/gperf-3.1:0 dev-util/gtk-doc-am-1.33.2:0 dev-util/intltool-0.51.0-r3:0 dev-util/meson-0.63.0:0 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -test dev-util/meson-format-array-0:0 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 dev-util/ninja-1.11.0:0 -doc -emacs -test -vim-syntax dev-util/pkgconf-1.8.0-r1:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -test dev-util/re2c-2.2:0 -debug -test dev-vcs/git-2.35.1:0 blksha1 -cgi curl -cvs -doc -gnome-keyring gpg -highlight iconv -mediawiki -mediawiki-experimental nls pcre -perforce -perl -ppcsha1 python_single_target_python3_10 -python_single_target_python3_8 -python_single_target_python3_9 -selinux -subversion -test threads -tk webdav -xinetd media-fonts/liberation-fonts-2.1.3:0 -X -X -fontforge media-gfx/graphite2-1.3.14_p20210810-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -perl -test media-libs/fontconfig-2.14.0-r1:1.0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -static-libs -test media-libs/freetype-2.12.1:2 -X -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 adobe-cff -brotli bzip2 cleartype-hinting -debug -doc -fontforge harfbuzz -infinality png -static-libs -svg -utils media-libs/harfbuzz-4.4.1:0/4.0.0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 cairo -debug -doc -experimental glib graphite -icu introspection -test truetype media-libs/libpng-1.6.37-r2:0/16 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -apng -cpu_flags_arm_neon cpu_flags_x86_sse -static-libs net-dns/libidn2-2.3.3:0/2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs -verify-sig net-firewall/iptables-1.8.8-r4:0/1.8.3 -conntrack -netlink -nftables -pcap split-usr -static-libs net-libs/gnutls-3.7.6:0/30.30 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -brotli cxx -dane -doc -examples -guile idn nls openssl -pkcs11 seccomp -sslv2 -sslv3 -static-libs -test -test-full tls-heartbeat -tools -valgrind -verify-sig zlib -zstd net-libs/libmnl-1.0.5:0/0.2.0 -examples -verify-sig net-libs/libnsl-2.0.0-r1:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs net-libs/libtirpc-1.3.2:0/3 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 ipv6 -kerberos split-usr -static-libs net-libs/nghttp2-1.48.0:0/1.14 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cxx -debug -hpack-tools -jemalloc -static-libs -test threads -utils -xml net-misc/curl-7.84.0:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -adns -alt-svc -brotli -curl_ssl_gnutls -curl_ssl_mbedtls -curl_ssl_nss curl_ssl_openssl ftp -gnutls -gopher -hsts http2 -idn imap ipv6 -kerberos -ldap -mbedtls -nghttp3 -nss openssl pop3 progress-meter -quiche -rtmp -samba smtp -ssh ssl -sslv3 -static-libs -telnet -test tftp -threads -verify-sig -zstd net-misc/dhcpcd-9.4.1:0 -debug embedded ipv6 -privsep udev net-misc/iputils-20211215:0 arping -caps -clockdiff -doc filecaps -idn nls -rarpd -rdisc -static -test -tracepath net-misc/netifrc-0.7.3-r1:0 dhcp net-misc/openssh-9.0_p1-r2:0 -X -X509 -abi_mips_n32 -audit -debug -hpn -kerberos -ldns -libedit -livecd pam pie -sctp -security-key -selinux ssl -static -test -verify-sig -xmss net-misc/rsync-3.2.4-r3:0 acl -examples iconv ipv6 -lz4 python_single_target_python3_10 -python_single_target_python3_8 -python_single_target_python3_9 ssl -stunnel -system-zlib -verify-sig xattr -xxhash -zstd net-misc/wget-1.21.3-r1:0 -cookie-check -debug -gnutls -idn ipv6 -metalink nls -ntlm pcre ssl -static -test -uuid -verify-sig zlib perl-core/Compress-Raw-Zlib-2.202.0:0 perl-core/File-Temp-0.231.100:0 sec-keys/openpgp-keys-gentoo-release-20220101:0 -test sys-apps/acl-2.3.1-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 nls split-usr -static-libs sys-apps/attr-2.5.1-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug nls split-usr -static-libs sys-apps/baselayout-2.8-r2:0 -build split-usr sys-apps/baselayout-java-0.1.0-r1:0 sys-apps/coreutils-9.1-r1:0 acl -caps -gmp -hostname -kill -multicall nls -selinux split-usr -static -test -vanilla -verify-sig xattr sys-apps/dbus-1.14.0-r4:0 -X -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -debug -doc elogind -selinux -static-libs -systemd -test -test sys-apps/debianutils-5.7:0 installkernel -static sys-apps/diffutils-3.8:0 nls -static -verify-sig sys-apps/file-5.42:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 bzip2 -lzma -python python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 -seccomp -static-libs -verify-sig zlib sys-apps/findutils-4.9.0:0 nls -selinux -static -test -verify-sig sys-apps/gawk-5.1.1-r2:0 -mpfr nls readline -verify-sig sys-apps/gentoo-functions-0.15:0 sys-apps/grep-3.7:0 nls pcre -static -verify-sig sys-apps/groff-1.22.4:0 -X -examples -uchardet sys-apps/help2man-1.48.5:0 nls sys-apps/install-xattr-0.8:0 sys-apps/iproute2-5.18.0-r1:0 -atm -berkdb -bpf -caps -elf iptables -libbsd -minimal -nfs -selinux split-usr sys-apps/kbd-2.5.1:0 nls pam -test sys-apps/kmod-30:0 -debug -doc lzma -pkcs7 -python python_targets_python3_10 python_targets_python3_8 python_targets_python3_9 -static-libs tools zlib zstd sys-apps/less-590:0 pcre unicode sys-apps/man-db-2.10.2-r1:0 manpager nls seccomp -selinux -static-libs zlib sys-apps/man-pages-5.13:0 l10n_de l10n_es l10n_fr l10n_it l10n_ja l10n_nl l10n_pl l10n_pt-BR l10n_ro l10n_ru l10n_zh-CN sys-apps/man-pages-posix-2017a:0 sys-apps/miscfiles-1.5-r4:0 -minimal sys-apps/net-tools-2.10:0 arp hostname ipv6 -nis nls -plipconfig -selinux -slattach -static sys-apps/openrc-0.45.2:0 -audit -bash -debug ncurses netifrc -newnet pam -selinux -sysv-utils unicode sys-apps/portage-3.0.34:0 -apidoc -build -doc -gentoo-dev ipc native-extensions python_targets_pypy3 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 rsync-verify -selinux -test xattr sys-apps/sandbox-2.29:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 abi_x86_32 abi_x86_64 -abi_x86_x32 nnp sys-apps/sed-4.8:0 acl nls -selinux -static -verify-sig sys-apps/shadow-4.11.1:0/4 acl -audit -bcrypt -cracklib nls pam -selinux -skey split-usr -su xattr sys-apps/systemd-utils-251.3:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 acl -boot kmod -selinux split-usr -sysusers -test tmpfiles udev sys-apps/sysvinit-3.04:0 -ibm nls -selinux -static -verify-sig sys-apps/texinfo-6.8:0 nls standalone -static sys-apps/util-linux-2.38:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -audit -build -caps cramfs -cryptsetup -fdformat hardlink -kill logger -magic ncurses nls pam -python python_targets_python3_10 python_targets_python3_8 python_targets_python3_9 readline -rtas -selinux -slang split-usr -static-libs su suid -systemd -test -tty-helpers -udev unicode -verify-sig sys-apps/which-2.21:0 sys-auth/elogind-246.10-r2:0 acl -audit cgroup-hybrid -debug -doc pam policykit -selinux -test sys-auth/pambase-20220214:0 -caps -debug elogind -gnome-keyring -homed -minimal -mktemp nullok -pam_krb5 -pam_ssh passwdqc -pwhistory -pwquality -securetty -selinux sha512 -systemd -yescrypt sys-auth/passwdqc-2.0.2-r1:0 sys-auth/polkit-121:0 duktape -examples -gtk introspection -kde pam -selinux -systemd -test sys-devel/autoconf-2.71-r1:2.71 -emacs sys-devel/autoconf-archive-2022.02.11:0 sys-devel/autoconf-wrapper-20220130:0 sys-devel/automake-1.16.5:1.16 -test sys-devel/automake-wrapper-11-r1:0 sys-devel/binutils-2.38-r2:2.38 -cet -default-gold -doc gold -multitarget nls -pgo plugins -static-libs -test -vanilla sys-devel/binutils-config-5.4.1:0 native-symlinks sys-devel/bison-3.8.2:0 -examples nls -static -test -verify-sig sys-devel/flex-2.6.4-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 nls -static -test sys-devel/gcc-12.1.1_p20220625:12 -ada -cet -custom-cflags cxx -d -debug -doc -fixed-point fortran -go graphite -hardened -jit -libssp lto multilib nls nptl -objc -objc++ -objc-gc openmp -pch -pgo pie sanitize ssp -systemtap -test -valgrind -vanilla -vtv -zstd sys-devel/gcc-config-2.5-r1:0 cc-wrappers native-symlinks sys-devel/gettext-0.21-r3:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 acl -cvs cxx -doc -emacs -git -java -java ncurses nls openmp -static-libs -verify-sig sys-devel/gnuconfig-20220508:0 sys-devel/libtool-2.4.7:2 -vanilla sys-devel/m4-1.4.19:0 -examples nls -verify-sig sys-devel/make-4.3:0 -guile nls -static -verify-sig sys-devel/patch-2.7.6-r4:0 -static -test -verify-sig xattr sys-fs/e2fsprogs-1.46.5-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cron -fuse -lto nls split-usr -static-libs -test threads tools sys-fs/udev-init-scripts-35:0 sys-kernel/installkernel-gentoo-5:0 -grub sys-kernel/linux-headers-5.18-r1:0 -experimental-loong -headers-only sys-libs/binutils-libs-2.38-r2:0/2.38 -64-bit-bfd -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cet -multitarget nls -static-libs sys-libs/gdbm-1.23:0/6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 berkdb nls readline -static-libs -verify-sig sys-libs/glibc-2.35-r8:2.2 -audit -caps -cet clone3 -compile-locales -crypt -custom-cflags -doc -experimental-loong -gd -headers-only multiarch multilib -multilib-bootstrap -nscd -profile -selinux ssp stack-realign static-libs -suid -systemd -systemtap -test -vanilla sys-libs/libcap-2.65:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 pam split-usr -static-libs -tools sys-libs/libseccomp-2.5.4:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -python python_targets_python3_10 python_targets_python3_8 python_targets_python3_9 -static-libs -test sys-libs/libxcrypt-4.4.28-r1:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 abi_x86_32 abi_x86_64 -abi_x86_x32 compat split-usr -static-libs system -test sys-libs/ncurses-6.3_p20220423:0/6 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 abi_x86_32 abi_x86_64 -abi_x86_x32 -ada cxx -debug -doc -gpm -minimal -profile split-usr stack-realign -static-libs -test tinfo -trace -verify-sig sys-libs/pam-1.5.2-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -audit -berkdb -debug filecaps -nis -selinux sys-libs/readline-8.1_p2:0/8 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 split-usr -static-libs unicode -utils -verify-sig sys-libs/timezone-data-2022a:0 -leaps-timezone nls -zic-slim sys-libs/zlib-1.2.12-r2:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 abi_x86_32 abi_x86_64 -abi_x86_x32 minizip split-usr -static-libs -verify-sig sys-process/procps-3.3.17-r1:0/8 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 elogind kill -modern-top ncurses nls -selinux split-usr -static-libs -systemd -test unicode sys-process/psmisc-23.4-r1:0 -X ipv6 nls -selinux virtual/acl-0-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs virtual/awk-1:0 virtual/dev-manager-0-r2:0 virtual/editor-0-r3:0 virtual/jdk-11-r2:11 -headless-awt virtual/jre-11-r2:11 virtual/libc-1-r1:0 virtual/libcrypt-2:0/2 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 abi_x86_32 abi_x86_64 -abi_x86_x32 -static-libs virtual/libelf-3-r1:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 virtual/libiconv-0-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 virtual/libintl-0-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 virtual/libudev-232-r7:0/1 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -systemd virtual/man-0-r4:0 virtual/os-headers-0-r2:0 virtual/package-manager-1:0 virtual/pager-0-r1:0 virtual/perl-Carp-1.520.0-r2:0 virtual/perl-Compress-Raw-Bzip2-2.103.0-r2:0 virtual/perl-Compress-Raw-Zlib-2.202.0:0 virtual/perl-CPAN-2.330.0:0 virtual/perl-CPAN-Meta-2.150.10-r6:0 virtual/perl-CPAN-Meta-Requirements-2.140.0-r8:0 virtual/perl-CPAN-Meta-YAML-0.18.0-r8:0 virtual/perl-Data-Dumper-2.184.0:0 virtual/perl-Digest-MD5-2.580.0-r1:0 virtual/perl-Encode-3.170.0:0 virtual/perl-Exporter-5.770.0:0 virtual/perl-ExtUtils-CBuilder-0.280.236-r1:0 virtual/perl-ExtUtils-Install-2.200.0-r1:0 virtual/perl-ExtUtils-MakeMaker-7.640.0:0 virtual/perl-ExtUtils-Manifest-1.730.0-r1:0 virtual/perl-ExtUtils-ParseXS-3.450.0:0 virtual/perl-File-Path-2.180.0-r1:0 virtual/perl-File-Spec-3.840.0:0 virtual/perl-File-Temp-0.231.100:0 virtual/perl-Getopt-Long-2.520.0-r1:0 virtual/perl-IO-1.500.0:0 virtual/perl-IO-Compress-2.106.0:0 virtual/perl-IO-Socket-IP-0.410.0-r1:0 virtual/perl-JSON-PP-4.70.0:0 virtual/perl-libnet-3.140.0:0 ssl virtual/perl-MIME-Base64-3.160.0-r1:0 virtual/perl-Module-Metadata-1.0.37-r2:0 virtual/perl-parent-0.238.0-r2:0 virtual/perl-Parse-CPAN-Meta-2.150.10-r6:0 virtual/perl-Perl-OSType-1.10.0-r6:0 virtual/perl-podlators-4.140.0-r3:0 virtual/perl-Scalar-List-Utils-1.620.0:0 virtual/perl-Test-Harness-3.440.0:0 virtual/perl-Text-ParseWords-3.310.0:0 virtual/perl-Time-Local-1.300.0-r1:0 virtual/perl-version-0.992.900:0 virtual/perl-XSLoader-0.310.0:0 virtual/pkgconfig-2-r1:0 virtual/service-manager-1:0 virtual/ssh-0-r1:0 -minimal virtual/tmpfiles-0-r3:0 virtual/ttf-fonts-1-r1:0 virtual/udev-217-r5:0 virtual/w3m-1:0 virtual/yacc-0:0 www-client/pybugz-0.13-r2:0 python_targets_python3_10 python_targets_python3_8 python_targets_python3_9 www-client/w3m-0.5.3_p20220429:0 -X -fbcon -gdk-pixbuf -gpm -imlib l10n_ja -lynxkeymap nls -nntp ssl unicode -xface x11-apps/xprop-1.2.5:0 x11-apps/xset-1.2.4-r1:0 x11-base/xcb-proto-1.15.2:0 python_targets_python3_10 -python_targets_python3_11 python_targets_python3_8 python_targets_python3_9 x11-base/xorg-proto-2022.1:0 -test x11-libs/cairo-1.16.0-r5:0 -X -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -aqua -debug -gles2-only glib -opengl -static-libs svg -utils -valgrind x11-libs/libICE-1.0.10-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 ipv6 x11-libs/libSM-1.2.3-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc ipv6 uuid x11-libs/libX11-1.8.1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -test x11-libs/libXau-1.0.9-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc x11-libs/libxcb-1.15-r1:0/1.12 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -doc -selinux -test xkb x11-libs/libXdmcp-1.1.3-r1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc x11-libs/libXext-1.3.4:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc x11-libs/libXfixes-6.0.0:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc x11-libs/libXi-1.8:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc x11-libs/libXmu-1.1.3:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc ipv6 x11-libs/libXrender-0.9.10-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 x11-libs/libXt-1.2.1:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc -test x11-libs/libXtst-1.2.3-r2:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -doc x11-libs/pixman-0.40.0:0 -abi_mips_n32 -abi_mips_n64 -abi_mips_o32 -abi_s390_32 -abi_s390_64 -abi_x86_32 abi_x86_64 -abi_x86_x32 -cpu_flags_arm_iwmmxt -cpu_flags_arm_iwmmxt2 -cpu_flags_arm_neon -cpu_flags_ppc_altivec cpu_flags_x86_mmxext cpu_flags_x86_sse2 cpu_flags_x86_ssse3 -loongson2f -static-libs -test x11-libs/xtrans-1.4.0:0 -doc x11-misc/compose-tables-1.8.1:0 x11-misc/shared-mime-info-2.2:0 -test x11-misc/xdg-utils-1.1.3_p20210805:0 -dbus -doc -gnome ####################### # build.log # ####################### >>> Unpacking source... >>> Unpacking verible-0.0.2152.tar.gz to /var/tmp/portage/sci-electronics/verible-0.0.2152/work Copying six-1.15.0.tar.gz to bazel distdir Copying abseil-cpp-20211102.0.zip to bazel distdir as 20211102.0.zip Copying bazel-toolchains-3.4.0.tar.gz to bazel distdir Copying rules_cc-e7c97c3af74e279a5db516a19f642e862ff58548.zip to bazel distdir as e7c97c3af74e279a5db516a19f642e862ff58548.zip Copying rules_proto-97d8af4dc474595af3900dd85cb3a29ad28cc313.zip to bazel distdir as 97d8af4dc474595af3900dd85cb3a29ad28cc313.zip Copying rules_python-0.2.0.tar.gz to bazel distdir Copying anytree-2.8.0.tar.gz to bazel distdir as 2.8.0.tar.gz Copying gflags-827c769e5fc98e0f2a34c47cef953cc6328abced.zip to bazel distdir as 827c769e5fc98e0f2a34c47cef953cc6328abced.zip Copying bazel_rules_install-4cd8ab0b5d8a0117bb5b8c89a0024508d5d4d5ed.zip to bazel distdir as 4cd8ab0b5d8a0117bb5b8c89a0024508d5d4d5ed.zip Copying glog-v0.5.0-rc2.tar.gz to bazel distdir as v0.5.0-rc2.tar.gz Copying googletest-release-1.11.0.zip to bazel distdir as release-1.11.0.zip Copying bazel-compilation-database-ace73b04e76111afa09934f8771a2798847e724e.tar.gz to bazel distdir as ace73b04e76111afa09934f8771a2798847e724e.tar.gz Copying rules_bison-v0.2.tar.xz to bazel distdir Copying rules_flex-v0.2.tar.xz to bazel distdir Copying m4-gnulib-788db09a9f88abbef73c97e8d7291c40455336d8.tar.xz to bazel distdir Copying rules_m4-v0.2.tar.xz to bazel distdir Copying win_flex_bison-2.5.18.zip to bazel distdir Copying json-v3.10.2.tar.gz to bazel distdir as v3.10.2.tar.gz Copying protobuf-v3.13.0.zip to bazel distdir as v3.13.0.zip Copying flex-2.6.4.tar.gz to bazel distdir Copying bazel_coverage_output_generator-v2.5.zip to bazel distdir as coverage_output_generator-v2.5.zip Copying bazel_java_tools_linux-v11.6.zip to bazel distdir as java_tools_linux-v11.6.zip Copying bazel_java_tools-v11.6.zip to bazel distdir as java_tools-v11.6.zip Copying bazel-skylib-1.0.2.tar.gz to bazel distdir Copying bazel_rules_java-7cf3cefd652008d0a64a419c34c13bdca6c8f178.zip to bazel distdir as 7cf3cefd652008d0a64a419c34c13bdca6c8f178.zip Copying zulu11.50.19-ca-jdk11.0.12-linux_x64.tar.gz to bazel distdir Copying zlib-1.2.12.tar.gz to bazel distdir Copying m4-1.4.18.tar.xz to bazel distdir >>> Source unpacked in /var/tmp/portage/sci-electronics/verible-0.0.2152/work >>> Preparing source in /var/tmp/portage/sci-electronics/verible-0.0.2152/work/verible-0.0-2152-gdd5e91a4 ... >>> Source prepared. >>> Configuring source in /var/tmp/portage/sci-electronics/verible-0.0.2152/work/verible-0.0-2152-gdd5e91a4 ... >>> Source configured. >>> Compiling source in /var/tmp/portage/sci-electronics/verible-0.0.2152/work/verible-0.0-2152-gdd5e91a4 ... bazel --bazelrc=/var/tmp/portage/sci-electronics/verible-0.0.2152/temp/bazelrc --output_base=/var/tmp/portage/sci-electronics/verible-0.0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base build -c opt --//bazel:use_local_flex_bison //... Extracting Bazel installation... WARNING: ignoring LD_PRELOAD in environment. Loading: Loading: 1 packages loaded Loading: 29 packages loaded currently loading: third_party/proto/kythe ... (3 packages) Fetching @rules_python; fetching Fetching ...python; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/rules_python/temp15\ 602891834295618808/rules_python-0.2.0.tar.gz Analyzing: 1870 targets (32 packages loaded) Analyzing: 1870 targets (32 packages loaded, 0 targets configured) Analyzing: 1870 targets (38 packages loaded, 99 targets configured) currently loading: @bazel_skylib//toolchains/unittest Fetching @local_config_sh; fetching Fetching @remotejdk11_linux_ppc64le_toolchain_config_repo; fetching Fetching @remotejdk11_linux_toolchain_config_repo; fetching Fetching @remotejdk11_linux_s390x_toolchain_config_repo; fetching Fetching @local_jdk; fetching Fetching @remotejdk16_macos_aarch64_toolchain_config_repo; fetching Fetching @win_flex_bison; fetching Fetching ...tejdk17_linux_toolchain_config_repo; fetching ... (21 fetches) Analyzing: 1870 targets (71 packages loaded, 502 targets configured) Fetching @local_jdk; fetching Fetching @win_flex_bison; fetching Fetching @local_config_cc_toolchains; fetching Fetching @python_anytree; fetching Fetching @com_google_protobuf; fetching Fetching @remote_coverage_tools; fetching Fetching ..._bison; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/win_flex_bison/temp\ 2326870048136274661/win_flex_bison-2.5.18.zip Fetching https://github.com/.../2.8.0.tar.gz; Checking in SHA-256 cache Analyzing: 1870 targets (73 packages loaded, 504 targets configured) currently loading: @local_jdk// Fetching @win_flex_bison; fetching Fetching @com_google_protobuf; fetching Fetching @remote_coverage_tools; fetching Fetching @rules_java; fetching Fetching ..._tools; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/remote_coverage_too\ ls/temp7428697273805304928/coverage_output_generator-v2.5.zip Fetching @python_six; fetching Fetching ...otobuf; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_protobuf\ /temp6665415763923611218/v3.13.0.zip Fetching ..._bison; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/win_flex_bison/temp\ 12110521230176077986/win_flex_bison-2.5.18.zip Analyzing: 1870 targets (84 packages loaded, 660 targets configured) Fetching @com_google_protobuf; fetching Fetching ...otobuf; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_protobuf\ /temp6665415763923611218/v3.13.0.zip Fetching @local_config_cc; fetching Fetching @com_google_absl; fetching Fetching @com_google_googletest; fetching Fetching @jsonhpp; fetching Fetching @com_github_google_glog; fetching Fetching ...letest; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_googlete\ st/temp12913084845120651012/release-1.11.0.zip Analyzing: 1870 targets (84 packages loaded, 660 targets configured) Fetching @com_google_protobuf; fetching Fetching ...otobuf; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_protobuf\ /temp6665415763923611218/v3.13.0.zip Fetching @local_config_cc; Restarting. Fetching @com_google_absl; fetching Fetching @com_google_googletest; fetching Fetching @jsonhpp; fetching Fetching @com_github_google_glog; fetching Fetching ...letest; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_googlete\ st/temp12913084845120651012/release-1.11.0.zip ... (11 fetches) Analyzing: 1870 targets (85 packages loaded, 660 targets configured) Fetching @com_google_protobuf; fetching Fetching ...otobuf; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_protobuf\ /temp6665415763923611218/v3.13.0.zip Fetching @local_config_cc; fetching Fetching @com_google_absl; fetching Fetching @com_google_googletest; fetching Fetching @jsonhpp; fetching Fetching ...letest; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_googlete\ st/temp12913084845120651012/release-1.11.0.zip Fetching ...e_absl; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_absl/tem\ p8238928482813696449/20211102.0.zip ... (9 fetches) Analyzing: 1870 targets (85 packages loaded, 660 targets configured) Fetching @com_google_protobuf; fetching Fetching ...otobuf; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_protobuf\ /temp6665415763923611218/v3.13.0.zip Fetching @local_config_cc; fetching Fetching @com_google_absl; fetching Fetching @com_google_googletest; fetching Fetching @jsonhpp; fetching Fetching ...e_absl; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_absl/tem\ p8238928482813696449/20211102.0.zip Fetching ...sonhpp; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/jsonhpp/temp7296733\ 866127936625/v3.10.2.tar.gz Analyzing: 1870 targets (86 packages loaded, 660 targets configured) Fetching @com_google_protobuf; fetching Fetching ...otobuf; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_protobuf\ /temp6665415763923611218/v3.13.0.zip Fetching @local_config_cc; fetching Fetching @com_google_absl; fetching Fetching @jsonhpp; fetching Fetching ...e_absl; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_absl/tem\ p8238928482813696449/20211102.0.zip Fetching ...sonhpp; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/jsonhpp/temp7296733\ 866127936625/v3.10.2.tar.gz Analyzing: 1870 targets (86 packages loaded, 660 targets configured) Fetching @com_google_protobuf; fetching Fetching ...otobuf; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_protobuf\ /temp6665415763923611218/v3.13.0.zip Fetching @local_config_cc; fetching Fetching @com_google_absl; fetching Fetching @jsonhpp; fetching Fetching ...e_absl; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_absl/tem\ p8238928482813696449/20211102.0.zip Analyzing: 1870 targets (87 packages loaded, 660 targets configured) Fetching @com_google_protobuf; fetching Fetching ...otobuf; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_protobuf\ /temp6665415763923611218/v3.13.0.zip Fetching @local_config_cc; fetching Fetching @com_google_absl; fetching Analyzing: 1870 targets (97 packages loaded, 660 targets configured) Fetching @com_google_protobuf; fetching Fetching ...otobuf; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/com_google_protobuf\ /temp6665415763923611218/v3.13.0.zip Fetching @local_config_cc; fetching Analyzing: 1870 targets (97 packages loaded, 660 targets configured) Fetching @com_google_protobuf; fetching Fetching @local_config_cc; fetching Analyzing: 1870 targets (103 packages loaded, 1346 targets configured) currently loading: @com_google_absl//absl/debugging Fetching @com_github_gflags_gflags; fetching Analyzing: 1870 targets (107 packages loaded, 1777 targets configured) currently loading: @com_google_absl//absl/algorithm ... (3 packages) Fetching @flex_v2.6.4; fetching Fetching ...v2.6.4; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/flex_v2.6.4/temp717\ 2075365352500374/flex-2.6.4.tar.gz Fetching @remote_java_tools; fetching Fetching ....6.zip; Checking SHA-256 of /var/tmp/portage/sci-electronics/v\ erible-0.0.2152/temp/bazel-distdir/java_tools-v11.6.zip Analyzing: 1870 targets (118 packages loaded, 2565 targets configured) Fetching @remote_java_tools; fetching Fetching ....6.zip; Checking SHA-256 of /var/tmp/portage/sci-electronics/v\ erible-0.0.2152/temp/bazel-distdir/java_tools-v11.6.zip Fetching @net_zlib; fetching Fetching ...t_zlib; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/net_zlib/temp787994\ 28966444424/zlib-1.2.12.tar.gz Fetching @m4_v1.4.18; fetching Fetching ...1.4.18; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/m4_v1.4.18/temp2155\ 247569091636900/m4-1.4.18.tar.xz Analyzing: 1870 targets (119 packages loaded, 2991 targets configured) Fetching @remote_java_tools; fetching Fetching @m4_v1.4.18; fetching Fetching ...1.4.18; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/m4_v1.4.18/temp2155\ 247569091636900/m4-1.4.18.tar.xz Fetching ..._tools; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/remote_java_tools/t\ emp12011068839757490086/java_tools-v11.6.zip Analyzing: 1870 targets (119 packages loaded, 2991 targets configured) Fetching @remote_java_tools; fetching Fetching @m4_v1.4.18; fetching Fetching ..._tools; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/remote_java_tools/t\ emp12011068839757490086/java_tools-v11.6.zip Analyzing: 1870 targets (119 packages loaded, 2991 targets configured) Fetching @remote_java_tools; fetching Fetching @m4_v1.4.18; fetching Fetching ..._tools; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/remote_java_tools/t\ emp12011068839757490086/java_tools-v11.6.zip Analyzing: 1870 targets (120 packages loaded, 3008 targets configured) currently loading: @bazel_tools//third_party/py/abseil Fetching @m4_v1.4.18; fetching Fetching @remotejdk11_linux; fetching Analyzing: 1870 targets (125 packages loaded, 3270 targets configured) Fetching @remotejdk11_linux; fetching Fetching @remote_java_tools_linux; fetching Fetching ...tar.gz; Checking SHA-256 of /var/tmp/portage/sci-electronics/v\ erible-0.0.2152/temp/bazel-distdir/zulu11.50.19-ca-jdk11.0.12-linux_x64.tar.gz Analyzing: 1870 targets (128 packages loaded, 4331 targets configured) Fetching @remotejdk11_linux; fetching Fetching ...tar.gz; Checking SHA-256 of /var/tmp/portage/sci-electronics/v\ erible-0.0.2152/temp/bazel-distdir/zulu11.50.19-ca-jdk11.0.12-linux_x64.tar.gz Analyzing: 1870 targets (128 packages loaded, 4332 targets configured) Fetching @remotejdk11_linux; fetching Analyzing: 1870 targets (128 packages loaded, 4332 targets configured) Fetching @remotejdk11_linux; fetching Fetching ..._linux; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/remotejdk11_linux/t\ emp16807415318563112533/zulu11.50.19-ca-jdk11.0.12-linux_x64.tar.gz Analyzing: 1870 targets (128 packages loaded, 4332 targets configured) Fetching @remotejdk11_linux; fetching Fetching ..._linux; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/remotejdk11_linux/t\ emp16807415318563112533/zulu11.50.19-ca-jdk11.0.12-linux_x64.tar.gz Analyzing: 1870 targets (128 packages loaded, 4332 targets configured) Fetching @remotejdk11_linux; fetching Fetching ..._linux; Extracting /var/tmp/portage/sci-electronics/verible-0.\ 0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/external/remotejdk11_linux/t\ emp16807415318563112533/zulu11.50.19-ca-jdk11.0.12-linux_x64.tar.gz Analyzing: 1870 targets (128 packages loaded, 4332 targets configured) currently loading: @remotejdk11_linux// INFO: Analyzed 1870 targets (129 packages loaded, 5022 targets configured). INFO: Found 1870 targets... [0 / 80] [Prepa] BazelWorkspaceStatusAction stable-status.txt WARNING: An illegal reflective access operation has occurred WARNING: Illegal reflective access by com.google.devtools.build.lib.unsafe.StringUnsafe (file:/var/tmp/portage/sci-electronics/verible-0.0.2152/homedir/.cache/bazel/_bazel_portage/install/f1b2043766238eee98ac4544c7fbe3db/A-server.jar) to constructor java.lang.String(byte[],byte) WARNING: Please consider reporting this to the maintainers of com.google.devtools.build.lib.unsafe.StringUnsafe WARNING: Use --illegal-access=warn to enable warnings of further illegal reflective access operations WARNING: All illegal access operations will be denied in a future release INFO: From BazelWorkspaceStatusAction stable-status.txt: fatal: not a git repository (or any parent up to mount point /var/tmp) Stopping at filesystem boundary (GIT_DISCOVERY_ACROSS_FILESYSTEM not set). fatal: not a git repository (or any parent up to mount point /var/tmp) Stopping at filesystem boundary (GIT_DISCOVERY_ACROSS_FILESYSTEM not set). [4 / 85] 3 actions, 2 running Compiling bazel/expanded_template/expand_template.cc; 0s local ...//bazel/expanded_template:expand_template; 0s local [Prepa] BazelWorkspaceStatusAction stable-status.txt [15 / 87] 4 actions, 3 running Compiling bazel/expanded_template/expand_template.cc; 0s local Executing genrule //verilog/parser:verilog_y; 0s local Compiling absl/strings/internal/charconv_bigint.cc; 0s local [Scann] Compiling absl/strings/numbers.cc [15 / 87] 4 actions running Compiling bazel/expanded_template/expand_template.cc; 0s local Executing genrule //verilog/parser:verilog_y; 0s local Compiling absl/strings/internal/charconv_bigint.cc; 0s local Compiling absl/strings/numbers.cc; 0s local [16 / 87] 4 actions, 3 running Compiling bazel/expanded_template/expand_template.cc; 1s local Executing genrule //verilog/parser:verilog_y; 0s local Compiling absl/strings/numbers.cc; 0s local [Scann] Compiling absl/strings/internal/escaping.cc [16 / 87] 4 actions running Compiling bazel/expanded_template/expand_template.cc; 1s local Executing genrule //verilog/parser:verilog_y; 1s local Compiling absl/strings/numbers.cc; 0s local Compiling absl/strings/internal/escaping.cc; 0s local [17 / 87] 4 actions, 3 running Compiling bazel/expanded_template/expand_template.cc; 1s local Executing genrule //verilog/parser:verilog_y; 1s local Compiling absl/strings/internal/escaping.cc; 0s local [Scann] Compiling absl/base/internal/cycleclock.cc [18 / 87] 4 actions, 3 running Compiling bazel/expanded_template/expand_template.cc; 1s local Executing genrule //verilog/parser:verilog_y; 1s local Compiling absl/strings/internal/escaping.cc; 0s local [Scann] Compiling absl/base/internal/spinlock.cc [19 / 87] 4 actions running Compiling bazel/expanded_template/expand_template.cc; 2s local Executing genrule //verilog/parser:verilog_y; 1s local Compiling absl/base/internal/spinlock.cc; 0s local Compiling absl/base/internal/thread_identity.cc; 0s local [19 / 87] 4 actions running Compiling bazel/expanded_template/expand_template.cc; 2s local Executing genrule //verilog/parser:verilog_y; 2s local Compiling absl/base/internal/spinlock.cc; 0s local Compiling absl/base/internal/thread_identity.cc; 0s local [20 / 87] 4 actions, 3 running Compiling bazel/expanded_template/expand_template.cc; 2s local Executing genrule //verilog/parser:verilog_y; 2s local Compiling absl/base/internal/thread_identity.cc; 0s local [Scann] Compiling absl/strings/internal/utf8.cc [23 / 87] 4 actions running Executing genrule //verilog/parser:verilog_y; 2s local Compiling absl/base/internal/raw_logging.cc; 0s local ...//bazel/expanded_template:expand_template; 0s local Compiling absl/base/internal/throw_delegate.cc; 0s local [24 / 87] 4 actions, 3 running Executing genrule //verilog/parser:verilog_y; 3s local ...//bazel/expanded_template:expand_template; 0s local Compiling absl/base/internal/throw_delegate.cc; 0s local [Scann] Compiling absl/numeric/int128.cc [26 / 87] 4 actions running ...//bazel/expanded_template:expand_template; 0s local Compiling absl/base/internal/throw_delegate.cc; 0s local Compiling absl/numeric/int128.cc; 0s local Compiling absl/base/internal/spinlock_wait.cc; 0s local [29 / 87] 4 actions running ...//bazel/expanded_template:expand_template; 0s local Compiling absl/numeric/int128.cc; 0s local Compiling absl/strings/internal/memutil.cc; 0s local Compiling absl/types/bad_optional_access.cc; 0s local [30 / 87] 4 actions, 3 running ...//bazel/expanded_template:expand_template; 1s local Compiling absl/numeric/int128.cc; 0s local Compiling absl/strings/internal/memutil.cc; 0s local [Scann] Compiling absl/base/log_severity.cc [30 / 87] 4 actions running ...//bazel/expanded_template:expand_template; 1s local Compiling absl/numeric/int128.cc; 1s local Compiling absl/strings/internal/memutil.cc; 0s local Compiling absl/base/log_severity.cc; 0s local [33 / 87] 4 actions running ...//bazel/expanded_template:expand_template; 1s local Compiling absl/strings/internal/ostringstream.cc; 0s local Compiling absl/strings/escaping.cc; 0s local Compiling absl/strings/string_view.cc; 0s local [33 / 87] 4 actions running ...//bazel/expanded_template:expand_template; 1s local Compiling absl/strings/internal/ostringstream.cc; 0s local Compiling absl/strings/escaping.cc; 0s local Compiling absl/strings/string_view.cc; 0s local [34 / 87] 4 actions, 3 running ...//bazel/expanded_template:expand_template; 2s local Compiling absl/strings/escaping.cc; 0s local Compiling absl/strings/string_view.cc; 0s local [Scann] Compiling absl/strings/str_split.cc [34 / 87] 4 actions running ...//bazel/expanded_template:expand_template; 2s local Compiling absl/strings/escaping.cc; 0s local Compiling absl/strings/string_view.cc; 0s local Compiling absl/strings/str_split.cc; 0s local [35 / 87] 4 actions running ...//bazel/expanded_template:expand_template; 2s local Compiling absl/strings/escaping.cc; 1s local Compiling absl/strings/str_split.cc; 0s local Compiling absl/base/internal/sysinfo.cc; 0s local [37 / 88] 4 actions running ...//bazel/expanded_template:expand_template; 2s local Compiling absl/strings/str_split.cc; 0s local Compiling absl/base/internal/sysinfo.cc; 0s local Compiling absl/strings/match.cc; 0s local [38 / 88] 4 actions, 3 running ...//bazel/expanded_template:expand_template; 3s local Compiling absl/base/internal/sysinfo.cc; 0s local Compiling absl/strings/match.cc; 0s local [Scann] Compiling absl/strings/str_replace.cc [39 / 88] 4 actions, 3 running ...//bazel/expanded_template:expand_template; 3s local Compiling absl/base/internal/sysinfo.cc; 0s local Compiling absl/strings/str_replace.cc; 0s local [Scann] Compiling absl/strings/str_cat.cc INFO: From Linking external/com_github_gflags_gflags/bazel/expanded_template/expand_template: lto-wrapper: warning: using serial compilation of 2 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [40 / 88] 4 actions running ...//bazel/expanded_template:expand_template; 3s local Compiling absl/strings/str_replace.cc; 0s local Compiling absl/strings/str_cat.cc; 0s local Compiling absl/strings/substitute.cc; 0s local [46 / 88] 4 actions, 3 running Compiling absl/strings/str_replace.cc; 0s local Compiling absl/strings/str_cat.cc; 0s local Compiling absl/strings/substitute.cc; 0s local [Prepa] Symlinking virtual headers for @com_github_gflags_gflags//:gflags [50 / 88] 4 actions running Compiling absl/strings/str_cat.cc; 0s local Compiling absl/strings/substitute.cc; 0s local Compiling common/strings/rebase.cc; 0s local Compiling common/analysis/matcher/inner_match_handlers.cc; 0s local [51 / 88] 4 actions running Compiling absl/strings/substitute.cc; 0s local Compiling common/strings/rebase.cc; 0s local Compiling common/analysis/matcher/inner_match_handlers.cc; 0s local Compiling common/analysis/matcher/matcher.cc; 0s local [51 / 88] 4 actions running Compiling absl/strings/substitute.cc; 1s local Compiling common/strings/rebase.cc; 0s local Compiling common/analysis/matcher/inner_match_handlers.cc; 0s local Compiling common/analysis/matcher/matcher.cc; 0s local [52 / 88] 4 actions, 3 running Compiling absl/strings/substitute.cc; 1s local Compiling common/analysis/matcher/inner_match_handlers.cc; 0s local Compiling common/analysis/matcher/matcher.cc; 0s local [Scann] Compiling common/analysis/matcher/bound_symbol_manager.cc [53 / 88] 4 actions running Compiling common/analysis/matcher/inner_match_handlers.cc; 1s local Compiling common/analysis/matcher/matcher.cc; 0s local Compiling common/analysis/matcher/bound_symbol_manager.cc; 0s local Compiling common/analysis/matcher/descent_path.cc; 0s local [54 / 88] 4 actions running Compiling common/analysis/matcher/inner_match_handlers.cc; 1s local Compiling common/analysis/matcher/bound_symbol_manager.cc; 0s local Compiling common/analysis/matcher/descent_path.cc; 0s local Compiling common/text/tree_context_visitor.cc; 0s local [55 / 88] 4 actions running Compiling common/analysis/matcher/bound_symbol_manager.cc; 0s local Compiling common/analysis/matcher/descent_path.cc; 0s local Compiling common/text/tree_context_visitor.cc; 0s local Compiling common/analysis/syntax_tree_search.cc; 0s local [56 / 88] 4 actions, 3 running Compiling common/analysis/matcher/descent_path.cc; 1s local Compiling common/text/tree_context_visitor.cc; 0s local Compiling common/analysis/syntax_tree_search.cc; 0s local [Scann] Compiling verilog/CST/verilog_treebuilder_utils.cc [58 / 88] 4 actions, 3 running Compiling common/analysis/syntax_tree_search.cc; 0s local Compiling verilog/CST/verilog_treebuilder_utils.cc; 0s local Compiling verilog/CST/DPI.cc; 0s local [Scann] Compiling common/text/tree_utils.cc [58 / 88] 4 actions running Compiling common/analysis/syntax_tree_search.cc; 1s local Compiling verilog/CST/verilog_treebuilder_utils.cc; 0s local Compiling verilog/CST/DPI.cc; 0s local Compiling common/text/tree_utils.cc; 0s local [59 / 88] 4 actions, 3 running Compiling verilog/CST/verilog_treebuilder_utils.cc; 1s local Compiling verilog/CST/DPI.cc; 1s local Compiling common/text/tree_utils.cc; 0s local [Scann] Compiling common/text/concrete_syntax_leaf.cc [61 / 88] 4 actions, 3 running Compiling common/text/tree_utils.cc; 1s local Compiling common/text/concrete_syntax_leaf.cc; 0s local Compiling common/text/concrete_syntax_tree.cc; 0s local [Prepa] Linking verilog/CST/libDPI.so [61 / 88] 4 actions running Compiling common/text/tree_utils.cc; 1s local Compiling common/text/concrete_syntax_leaf.cc; 0s local Compiling common/text/concrete_syntax_tree.cc; 0s local Linking verilog/CST/libDPI.so; 0s local [62 / 88] 4 actions running Compiling common/text/tree_utils.cc; 1s local Compiling common/text/concrete_syntax_leaf.cc; 0s local Compiling common/text/concrete_syntax_tree.cc; 0s local Compiling common/text/tree_compare.cc; 0s local [63 / 88] 4 actions running Compiling common/text/concrete_syntax_leaf.cc; 0s local Compiling common/text/concrete_syntax_tree.cc; 0s local Compiling common/text/tree_compare.cc; 0s local Compiling common/text/symbol.cc; 0s local [64 / 88] 4 actions running Compiling common/text/concrete_syntax_tree.cc; 1s local Compiling common/text/tree_compare.cc; 0s local Compiling common/text/symbol.cc; 0s local Compiling common/text/token_info.cc; 0s local [64 / 88] 4 actions running Compiling common/text/concrete_syntax_tree.cc; 1s local Compiling common/text/tree_compare.cc; 0s local Compiling common/text/symbol.cc; 0s local Compiling common/text/token_info.cc; 0s local [66 / 88] 4 actions, 3 running Compiling common/text/tree_compare.cc; 1s local Compiling common/text/token_info.cc; 0s local Compiling src/vlog_is_on.cc; 0s local [Scann] Compiling src/raw_logging.cc [67 / 88] 4 actions running Compiling common/text/token_info.cc; 0s local Compiling src/vlog_is_on.cc; 0s local Compiling src/raw_logging.cc; 0s local Compiling src/symbolize.cc; 0s local [69 / 88] 4 actions, 3 running Compiling src/raw_logging.cc; 0s local Compiling src/symbolize.cc; 0s local Compiling src/utilities.cc; 0s local [Scann] Compiling src/signalhandler.cc [70 / 88] 4 actions running Compiling src/symbolize.cc; 0s local Compiling src/utilities.cc; 0s local Compiling src/signalhandler.cc; 0s local Compiling src/logging.cc; 0s local [72 / 88] 4 actions, 3 running Compiling src/signalhandler.cc; 0s local Compiling src/logging.cc; 0s local Compiling src/demangle.cc; 0s local Compiling src/gflags_completions.cc; 0s local [73 / 88] 4 actions running Compiling src/logging.cc; 0s local Compiling src/demangle.cc; 0s local Compiling src/gflags_completions.cc; 0s local Compiling src/gflags_reporting.cc; 0s local [74 / 88] 4 actions, 3 running Compiling src/logging.cc; 1s local Compiling src/gflags_completions.cc; 0s local Compiling src/gflags_reporting.cc; 0s local [Scann] Compiling src/gflags.cc [76 / 88] 4 actions, 3 running Compiling src/gflags_completions.cc; 0s local Compiling src/gflags.cc; 0s local Compiling verilog/CST/verilog_matchers.cc; 0s local [Scann] Compiling absl/strings/internal/charconv_parse.cc [77 / 90] 4 actions running Compiling src/gflags.cc; 0s local Compiling verilog/CST/verilog_matchers.cc; 0s local Compiling absl/strings/internal/charconv_parse.cc; 0s local Compiling verilog/CST/DPI.cc; 0s local [78 / 90] 4 actions, 3 running Compiling src/gflags.cc; 0s local Compiling verilog/CST/verilog_matchers.cc; 0s local Compiling verilog/CST/DPI.cc; 0s local [Prepa] Writing file verilog/CST/libDPI.a-2.params [79 / 90] 4 actions running Compiling src/gflags.cc; 1s local Compiling verilog/CST/verilog_matchers.cc; 1s local Compiling verilog/CST/DPI.cc; 0s local Compiling absl/strings/ascii.cc; 0s local [80 / 90] 4 actions, 3 running Compiling src/gflags.cc; 1s local Compiling verilog/CST/verilog_matchers.cc; 1s local Compiling absl/strings/ascii.cc; 0s local [Prepa] Linking verilog/CST/libDPI.a [84 / 90] 4 actions running Compiling verilog/CST/verilog_matchers.cc; 1s local Compiling common/util/spacer.cc; 0s local Compiling common/strings/display_utils.cc; 0s local Compiling verilog/CST/verilog_nonterminals.cc; 0s local [85 / 91] 4 actions, 3 running Compiling common/util/spacer.cc; 0s local Compiling common/strings/display_utils.cc; 0s local Compiling verilog/CST/verilog_nonterminals.cc; 0s local [Prepa] Writing file verilog/CST/libDPI.pic.a-2.params [88 / 94] 4 actions, 3 running Compiling common/strings/display_utils.cc; 0s local Compiling verilog/CST/verilog_nonterminals.cc; 0s local Compiling absl/strings/charconv.cc; 0s local [Prepa] //verilog/tools/formatter:format_file_syntax_error_test [91 / 98] 4 actions, 3 running Compiling common/strings/display_utils.cc; 1s local Compiling verilog/CST/verilog_nonterminals.cc; 0s local Compiling absl/strings/charconv.cc; 0s local [Prepa] Executing genrule //common/util:version_header [93 / 289] 4 actions running Compiling verilog/CST/verilog_nonterminals.cc; 1s local Compiling absl/strings/charconv.cc; 0s local Compiling verilog/tools/formatter/verilog_format.cc; 0s local Compiling absl/strings/substitute.cc; 0s local [94 / 289] 4 actions running Compiling absl/strings/charconv.cc; 0s local Compiling verilog/tools/formatter/verilog_format.cc; 0s local Compiling absl/strings/substitute.cc; 0s local Compiling absl/strings/str_split.cc; 0s local [95 / 289] 4 actions, 3 running Compiling verilog/tools/formatter/verilog_format.cc; 0s local Compiling absl/strings/substitute.cc; 0s local Compiling absl/strings/str_split.cc; 0s local [Scann] Compiling absl/base/internal/thread_identity.cc [95 / 289] 4 actions running Compiling verilog/tools/formatter/verilog_format.cc; 0s local Compiling absl/strings/substitute.cc; 0s local Compiling absl/strings/str_split.cc; 0s local Compiling absl/base/internal/thread_identity.cc; 0s local [96 / 289] 4 actions running Compiling verilog/tools/formatter/verilog_format.cc; 1s local Compiling absl/strings/substitute.cc; 1s local Compiling absl/base/internal/thread_identity.cc; 0s local Compiling absl/base/internal/throw_delegate.cc; 0s local [97 / 289] 4 actions running Compiling verilog/tools/formatter/verilog_format.cc; 1s local Compiling absl/base/internal/thread_identity.cc; 0s local Compiling absl/base/internal/throw_delegate.cc; 0s local Compiling absl/base/log_severity.cc; 0s local [98 / 289] 4 actions running Compiling verilog/tools/formatter/verilog_format.cc; 1s local Compiling absl/base/internal/throw_delegate.cc; 0s local Compiling absl/base/log_severity.cc; 0s local Compiling absl/base/internal/spinlock.cc; 0s local [99 / 289] 4 actions running Compiling verilog/tools/formatter/verilog_format.cc; 1s local Compiling absl/base/internal/throw_delegate.cc; 0s local Compiling absl/base/internal/spinlock.cc; 0s local Compiling absl/base/internal/raw_logging.cc; 0s local [100 / 289] 4 actions, 3 running Compiling verilog/tools/formatter/verilog_format.cc; 2s local Compiling absl/base/internal/spinlock.cc; 0s local Compiling absl/base/internal/raw_logging.cc; 0s local [Scann] Compiling absl/strings/string_view.cc [101 / 289] 4 actions, 3 running Compiling verilog/tools/formatter/verilog_format.cc; 2s local Compiling absl/base/internal/spinlock.cc; 0s local Compiling absl/strings/string_view.cc; 0s local [Scann] Compiling absl/strings/internal/escaping.cc [102 / 289] 4 actions, 3 running Compiling verilog/tools/formatter/verilog_format.cc; 2s local Compiling absl/strings/string_view.cc; 0s local Compiling absl/strings/internal/escaping.cc; 0s local [Scann] Compiling absl/strings/internal/ostringstream.cc [102 / 289] 4 actions running Compiling verilog/tools/formatter/verilog_format.cc; 2s local Compiling absl/strings/string_view.cc; 0s local Compiling absl/strings/internal/escaping.cc; 0s local Compiling absl/strings/internal/ostringstream.cc; 0s local [104 / 289] 4 actions running Compiling absl/strings/internal/escaping.cc; 0s local Compiling absl/strings/internal/ostringstream.cc; 0s local Compiling absl/strings/internal/utf8.cc; 0s local Compiling absl/base/internal/cycleclock.cc; 0s local [107 / 289] 4 actions running Compiling absl/base/internal/cycleclock.cc; 0s local Compiling absl/base/internal/spinlock_wait.cc; 0s local Compiling absl/base/internal/sysinfo.cc; 0s local Compiling absl/base/internal/unscaledcycleclock.cc; 0s local [110 / 289] 4 actions running Compiling absl/base/internal/sysinfo.cc; 0s local Compiling absl/numeric/int128.cc; 0s local Compiling absl/strings/match.cc; 0s local Compiling absl/strings/internal/str_format/bind.cc; 0s local [111 / 289] 4 actions, 3 running Compiling absl/numeric/int128.cc; 0s local Compiling absl/strings/match.cc; 0s local Compiling absl/strings/internal/str_format/bind.cc; 0s local [Scann] Compiling verilog/formatting/format_style_init.cc [113 / 289] 4 actions, 3 running Compiling absl/strings/internal/str_format/bind.cc; 0s local Compiling verilog/formatting/format_style_init.cc; 0s local Compiling common/formatting/basic_format_style_init.cc; 0s local [Scann] Compiling common/util/init_command_line.cc [113 / 289] 4 actions running Compiling absl/strings/internal/str_format/bind.cc; 1s local Compiling verilog/formatting/format_style_init.cc; 0s local Compiling common/formatting/basic_format_style_init.cc; 0s local Compiling common/util/init_command_line.cc; 0s local [114 / 289] 4 actions, 3 running Compiling verilog/formatting/format_style_init.cc; 1s local Compiling common/formatting/basic_format_style_init.cc; 0s local Compiling common/util/init_command_line.cc; 0s local [Scann] Compiling verilog/formatting/formatter.cc [114 / 289] 4 actions running Compiling verilog/formatting/format_style_init.cc; 1s local Compiling common/formatting/basic_format_style_init.cc; 1s local Compiling common/util/init_command_line.cc; 1s local Compiling verilog/formatting/formatter.cc; 0s local [115 / 289] 4 actions running Compiling verilog/formatting/format_style_init.cc; 1s local Compiling common/util/init_command_line.cc; 1s local Compiling verilog/formatting/formatter.cc; 0s local Compiling verilog/formatting/align.cc; 0s local [116 / 289] 4 actions running Compiling verilog/formatting/format_style_init.cc; 1s local Compiling verilog/formatting/formatter.cc; 0s local Compiling verilog/formatting/align.cc; 0s local Compiling verilog/formatting/token_annotator.cc; 0s local [117 / 289] 4 actions running Compiling verilog/formatting/formatter.cc; 0s local Compiling verilog/formatting/align.cc; 0s local Compiling verilog/formatting/token_annotator.cc; 0s local Compiling absl/strings/internal/memutil.cc; 0s local [117 / 289] 4 actions running Compiling verilog/formatting/formatter.cc; 1s local Compiling verilog/formatting/align.cc; 0s local Compiling verilog/formatting/token_annotator.cc; 0s local Compiling absl/strings/internal/memutil.cc; 0s local [118 / 289] 4 actions running Compiling verilog/formatting/formatter.cc; 1s local Compiling verilog/formatting/align.cc; 1s local Compiling verilog/formatting/token_annotator.cc; 0s local Compiling absl/strings/charconv.cc; 0s local [119 / 289] 4 actions, 3 running Compiling verilog/formatting/formatter.cc; 2s local Compiling verilog/formatting/align.cc; 1s local Compiling verilog/formatting/token_annotator.cc; 1s local [Scann] Compiling absl/strings/internal/str_format/parser.cc [119 / 289] 4 actions running Compiling verilog/formatting/formatter.cc; 2s local Compiling verilog/formatting/align.cc; 2s local Compiling verilog/formatting/token_annotator.cc; 1s local Compiling absl/strings/internal/str_format/parser.cc; 0s local [120 / 289] 4 actions, 3 running Compiling verilog/formatting/formatter.cc; 3s local Compiling verilog/formatting/align.cc; 2s local Compiling absl/strings/internal/str_format/parser.cc; 0s local [Scann] Compiling common/formatting/align.cc INFO: From Compiling verilog/formatting/formatter.cc: In file included from verilog/formatting/formatter.cc:38: ./common/util/expandable_tree_view.h:214:19: warning: 'template struct std::iterator' is deprecated [-Wdeprecated-declarations] 214 | : public std::iterator { | ^~~~~~~~ In file included from /usr/lib/gcc/x86_64-pc-linux-gnu/12.1.1/include/g++-v12/bits/stl_algobase.h:65, from /usr/lib/gcc/x86_64-pc-linux-gnu/12.1.1/include/g++-v12/vector:60, from ./verilog/formatting/formatter.h:19, from verilog/formatting/formatter.cc:15: /usr/lib/gcc/x86_64-pc-linux-gnu/12.1.1/include/g++-v12/bits/stl_iterator_base_types.h:127:34: note: declared here 127 | struct _GLIBCXX17_DEPRECATED iterator | ^~~~~~~~ [120 / 289] 4 actions running Compiling verilog/formatting/formatter.cc; 3s local Compiling verilog/formatting/align.cc; 2s local Compiling absl/strings/internal/str_format/parser.cc; 1s local Compiling common/formatting/align.cc; 0s local [122 / 289] 4 actions running Compiling verilog/formatting/align.cc; 3s local Compiling common/formatting/align.cc; 0s local Compiling verilog/formatting/verilog_token.cc; 0s local Compiling common/formatting/tree_unwrapper.cc; 0s local [123 / 289] 4 actions, 3 running Compiling common/formatting/align.cc; 1s local Compiling verilog/formatting/verilog_token.cc; 0s local Compiling common/formatting/tree_unwrapper.cc; 0s local [Scann] Compiling verilog/CST/macro.cc [123 / 289] 4 actions running Compiling common/formatting/align.cc; 1s local Compiling verilog/formatting/verilog_token.cc; 1s local Compiling common/formatting/tree_unwrapper.cc; 1s local Compiling verilog/CST/macro.cc; 0s local [124 / 289] 4 actions, 3 running Compiling common/formatting/align.cc; 2s local Compiling verilog/formatting/verilog_token.cc; 2s local Compiling common/formatting/tree_unwrapper.cc; 1s local [Scann] Compiling common/formatting/layout_optimizer.cc [126 / 289] 4 actions running Compiling common/formatting/align.cc; 2s local Compiling common/formatting/layout_optimizer.cc; 0s local Compiling common/util/file_util.cc; 0s local Compiling common/formatting/token_partition_tree.cc; 0s local [126 / 289] 4 actions running Compiling common/formatting/align.cc; 3s local Compiling common/formatting/layout_optimizer.cc; 1s local Compiling common/util/file_util.cc; 1s local Compiling common/formatting/token_partition_tree.cc; 1s local [127 / 289] 4 actions, 3 running Compiling common/formatting/layout_optimizer.cc; 2s local Compiling common/util/file_util.cc; 2s local Compiling common/formatting/token_partition_tree.cc; 1s local [Scann] Compiling common/formatting/line_wrap_searcher.cc [128 / 289] 4 actions running Compiling common/formatting/layout_optimizer.cc; 2s local Compiling common/formatting/token_partition_tree.cc; 2s local Compiling common/formatting/line_wrap_searcher.cc; 0s local Compiling common/formatting/state_node.cc; 0s local [129 / 289] 4 actions running Compiling common/formatting/token_partition_tree.cc; 2s local Compiling common/formatting/line_wrap_searcher.cc; 0s local Compiling common/formatting/state_node.cc; 0s local Compiling absl/strings/internal/str_format/arg.cc; 0s local [129 / 289] 4 actions running Compiling common/formatting/token_partition_tree.cc; 2s local Compiling common/formatting/line_wrap_searcher.cc; 0s local Compiling common/formatting/state_node.cc; 0s local Compiling absl/strings/internal/str_format/arg.cc; 0s local [130 / 289] 4 actions running Compiling common/formatting/line_wrap_searcher.cc; 1s local Compiling common/formatting/state_node.cc; 0s local Compiling absl/strings/internal/str_format/arg.cc; 0s local Compiling common/formatting/basic_format_style.cc; 0s local [131 / 289] 4 actions, 3 running Compiling common/formatting/line_wrap_searcher.cc; 1s local Compiling common/formatting/state_node.cc; 1s local Compiling common/formatting/basic_format_style.cc; 1s local [Scann] Compiling common/formatting/unwrapped_line.cc [132 / 289] 4 actions running Compiling common/formatting/state_node.cc; 1s local Compiling common/formatting/basic_format_style.cc; 1s local Compiling common/formatting/unwrapped_line.cc; 0s local Compiling common/formatting/verification.cc; 0s local [134 / 289] 4 actions running Compiling common/formatting/unwrapped_line.cc; 0s local Compiling common/formatting/verification.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_lookup.cc; 0s local Compiling common/strings/diff.cc; 0s local [134 / 289] 4 actions running Compiling common/formatting/unwrapped_line.cc; 0s local Compiling common/formatting/verification.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_lookup.cc; 0s local Compiling common/strings/diff.cc; 0s local [135 / 289] 4 actions, 3 running Compiling common/formatting/unwrapped_line.cc; 1s local Compiling common/formatting/verification.cc; 1s local Compiling common/strings/diff.cc; 0s local [Scann] Compiling common/strings/split.cc [135 / 289] 4 actions running Compiling common/formatting/unwrapped_line.cc; 1s local Compiling common/formatting/verification.cc; 1s local Compiling common/strings/diff.cc; 1s local Compiling common/strings/split.cc; 0s local [136 / 289] 4 actions running Compiling common/formatting/verification.cc; 1s local Compiling common/strings/diff.cc; 1s local Compiling common/strings/split.cc; 0s local Compiling common/strings/position.cc; 0s local [137 / 289] 4 actions running Compiling common/strings/diff.cc; 1s local Compiling common/strings/split.cc; 0s local Compiling common/strings/position.cc; 0s local Compiling absl/random/discrete_distribution.cc; 0s local [139 / 289] 4 actions running Compiling common/strings/position.cc; 0s local Compiling absl/random/discrete_distribution.cc; 0s local Compiling absl/random/seed_sequences.cc; 0s local Compiling absl/random/internal/pool_urbg.cc; 0s local [140 / 289] 4 actions, 3 running Compiling common/strings/position.cc; 1s local Compiling absl/random/seed_sequences.cc; 0s local Compiling absl/random/internal/pool_urbg.cc; 0s local [Scann] Compiling absl/random/seed_gen_exception.cc [141 / 289] 4 actions running Compiling absl/random/seed_sequences.cc; 1s local Compiling absl/random/internal/pool_urbg.cc; 1s local Compiling absl/random/seed_gen_exception.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_if.cc; 0s local [143 / 289] 4 actions, 3 running Compiling absl/random/seed_sequences.cc; 1s local Compiling absl/time/internal/cctz/src/time_zone_if.cc; 0s local Compiling absl/random/internal/randen.cc; 0s local [Scann] Compiling absl/random/internal/randen_detect.cc [145 / 289] 4 actions running Compiling absl/time/internal/cctz/src/time_zone_if.cc; 0s local Compiling absl/random/internal/randen.cc; 0s local Compiling absl/random/internal/randen_hwaes.cc; 0s local Compiling absl/base/internal/low_level_alloc.cc; 0s local [146 / 289] 4 actions, 3 running Compiling absl/time/internal/cctz/src/time_zone_if.cc; 0s local Compiling absl/random/internal/randen_hwaes.cc; 0s local Compiling absl/base/internal/low_level_alloc.cc; 0s local [Scann] Compiling absl/random/internal/randen_slow.cc [148 / 289] 4 actions, 3 running Compiling absl/random/internal/randen_hwaes.cc; 0s local Compiling absl/base/internal/low_level_alloc.cc; 0s local Compiling absl/random/internal/randen_slow.cc; 0s local [Scann] Compiling common/util/tree_operations.cc [148 / 289] 4 actions running Compiling absl/random/internal/randen_hwaes.cc; 0s local Compiling absl/base/internal/low_level_alloc.cc; 0s local Compiling absl/random/internal/randen_slow.cc; 0s local Compiling common/util/tree_operations.cc; 0s local [149 / 289] 4 actions, 3 running Compiling absl/random/internal/randen_hwaes.cc; 1s local Compiling absl/base/internal/low_level_alloc.cc; 1s local Compiling common/util/tree_operations.cc; 0s local [Scann] Compiling absl/debugging/internal/address_is_readable.cc [151 / 289] 4 actions, 3 running Compiling common/util/tree_operations.cc; 0s local Compiling absl/debugging/internal/address_is_readable.cc; 0s local Compiling verilog/analysis/verilog_analyzer.cc; 0s local [Scann] Compiling verilog/analysis/verilog_excerpt_parse.cc [152 / 289] 4 actions, 3 running Compiling common/util/tree_operations.cc; 1s local Compiling verilog/analysis/verilog_analyzer.cc; 0s local Compiling verilog/analysis/verilog_excerpt_parse.cc; 0s local [Scann] Compiling common/analysis/file_analyzer.cc [152 / 289] 4 actions running Compiling common/util/tree_operations.cc; 1s local Compiling verilog/analysis/verilog_analyzer.cc; 0s local Compiling verilog/analysis/verilog_excerpt_parse.cc; 0s local Compiling common/analysis/file_analyzer.cc; 0s local [153 / 289] 4 actions, 3 running Compiling verilog/analysis/verilog_analyzer.cc; 0s local Compiling verilog/analysis/verilog_excerpt_parse.cc; 0s local Compiling common/analysis/file_analyzer.cc; 0s local [Scann] Compiling common/strings/comment_utils.cc [153 / 289] 4 actions running Compiling verilog/analysis/verilog_analyzer.cc; 1s local Compiling verilog/analysis/verilog_excerpt_parse.cc; 1s local Compiling common/analysis/file_analyzer.cc; 0s local Compiling common/strings/comment_utils.cc; 0s local [154 / 289] 4 actions, 3 running Compiling verilog/analysis/verilog_analyzer.cc; 1s local Compiling verilog/analysis/verilog_excerpt_parse.cc; 1s local Compiling common/analysis/file_analyzer.cc; 1s local [Scann] Compiling common/text/text_structure.cc [154 / 289] 4 actions running Compiling verilog/analysis/verilog_analyzer.cc; 2s local Compiling verilog/analysis/verilog_excerpt_parse.cc; 1s local Compiling common/analysis/file_analyzer.cc; 1s local Compiling common/text/text_structure.cc; 0s local [155 / 289] 4 actions running Compiling verilog/analysis/verilog_excerpt_parse.cc; 2s local Compiling common/analysis/file_analyzer.cc; 1s local Compiling common/text/text_structure.cc; 0s local Compiling verilog/analysis/verilog_equivalence.cc; 0s local [157 / 289] 4 actions running Compiling common/text/text_structure.cc; 0s local Compiling verilog/analysis/verilog_equivalence.cc; 0s local Compiling .../synchronization/internal/create_thread_identity.cc; 0s local Compiling absl/synchronization/mutex.cc; 0s local [157 / 289] 4 actions running Compiling common/text/text_structure.cc; 1s local Compiling verilog/analysis/verilog_equivalence.cc; 1s local Compiling .../synchronization/internal/create_thread_identity.cc; 1s local Compiling absl/synchronization/mutex.cc; 1s local [158 / 289] 4 actions running Compiling common/text/text_structure.cc; 1s local Compiling verilog/analysis/verilog_equivalence.cc; 1s local Compiling absl/synchronization/mutex.cc; 1s local Compiling absl/synchronization/internal/waiter.cc; 0s local [159 / 289] 4 actions running Compiling common/text/text_structure.cc; 2s local Compiling verilog/analysis/verilog_equivalence.cc; 1s local Compiling absl/synchronization/internal/waiter.cc; 0s local Compiling absl/strings/internal/cord_internal.cc; 0s local [160 / 289] 4 actions, 3 running Compiling verilog/analysis/verilog_equivalence.cc; 2s local Compiling absl/synchronization/internal/waiter.cc; 0s local Compiling absl/strings/internal/cord_internal.cc; 0s local [Scann] Compiling absl/strings/internal/cordz_functions.cc [162 / 289] 4 actions running Compiling absl/strings/internal/cord_internal.cc; 0s local Compiling absl/strings/internal/cordz_functions.cc; 0s local Compiling absl/profiling/internal/exponential_biased.cc; 0s local Compiling absl/strings/internal/cord_rep_ring.cc; 0s local [163 / 289] 4 actions, 3 running Compiling absl/strings/internal/cord_internal.cc; 1s local Compiling absl/strings/internal/cordz_functions.cc; 0s local Compiling absl/strings/internal/cord_rep_ring.cc; 0s local [Scann] Compiling verilog/parser/verilog_parser.cc [163 / 289] 4 actions running Compiling absl/strings/internal/cord_internal.cc; 1s local Compiling absl/strings/internal/cordz_functions.cc; 0s local Compiling absl/strings/internal/cord_rep_ring.cc; 0s local Compiling verilog/parser/verilog_parser.cc; 0s local [166 / 289] 4 actions, 3 running Compiling verilog/parser/verilog_parser.cc; 0s local Compiling absl/strings/internal/cord_rep_btree_navigator.cc; 0s local Compiling absl/strings/internal/cord_rep_btree_reader.cc; 0s local [Scann] Compiling common/text/macro_definition.cc [166 / 289] 4 actions running Compiling verilog/parser/verilog_parser.cc; 0s local Compiling absl/strings/internal/cord_rep_btree_navigator.cc; 0s local Compiling absl/strings/internal/cord_rep_btree_reader.cc; 0s local Compiling common/text/macro_definition.cc; 0s local [167 / 289] 4 actions, 3 running Compiling absl/strings/internal/cord_rep_btree_navigator.cc; 1s local Compiling absl/strings/internal/cord_rep_btree_reader.cc; 0s local Compiling common/text/macro_definition.cc; 0s local [Scann] @com_google_absl//absl/container:hashtablez_sampler [168 / 289] 4 actions, 3 running Compiling absl/strings/internal/cord_rep_btree_reader.cc; 1s local Compiling common/text/macro_definition.cc; 0s local @com_google_absl//absl/container:hashtablez_sampler; 0s local [Scann] Compiling absl/strings/cord.cc [169 / 289] 4 actions running Compiling common/text/macro_definition.cc; 1s local @com_google_absl//absl/container:hashtablez_sampler; 0s local Compiling absl/strings/cord.cc; 0s local Compiling verilog/CST/module.cc; 0s local [170 / 289] 4 actions, 3 running @com_google_absl//absl/container:hashtablez_sampler; 0s local Compiling absl/strings/cord.cc; 0s local Compiling verilog/CST/module.cc; 0s local [Scann] Compiling absl/status/status_payload_printer.cc [170 / 289] 4 actions running @com_google_absl//absl/container:hashtablez_sampler; 1s local Compiling absl/strings/cord.cc; 0s local Compiling verilog/CST/module.cc; 0s local Compiling absl/status/status_payload_printer.cc; 0s local [171 / 289] 4 actions, 3 running Compiling absl/strings/cord.cc; 1s local Compiling verilog/CST/module.cc; 1s local Compiling absl/status/status_payload_printer.cc; 0s local [Scann] Compiling verilog/CST/identifier.cc [171 / 289] 4 actions running Compiling absl/strings/cord.cc; 1s local Compiling verilog/CST/module.cc; 1s local Compiling absl/status/status_payload_printer.cc; 0s local Compiling verilog/CST/identifier.cc; 0s local [172 / 289] 4 actions running Compiling absl/strings/cord.cc; 1s local Compiling absl/status/status_payload_printer.cc; 1s local Compiling verilog/CST/identifier.cc; 0s local Compiling absl/strings/internal/cordz_info.cc; 0s local [173 / 293] 4 actions, 3 running Compiling absl/status/status_payload_printer.cc; 1s local Compiling verilog/CST/identifier.cc; 0s local Compiling absl/strings/internal/cordz_info.cc; 0s local [Prepa] Creating source manifest for //.../parser:move_yacc_stack_symbols [179 / 297] 4 actions, 3 running Compiling verilog/CST/identifier.cc; 1s local Compiling absl/strings/internal/cordz_info.cc; 0s local //common/parser:record_syntax_error; 0s local [Prepa] Symlinking //common/parser:record_syntax_error [183 / 297] 4 actions, 3 running Compiling absl/strings/internal/cordz_info.cc; 1s local Compiling src/gflags_reporting.cc; 0s local Compiling common/text/tree_context_visitor.cc; 0s local [Scann] Compiling verilog/parser/verilog-final.tab.cc [183 / 297] 4 actions running Compiling absl/strings/internal/cordz_info.cc; 1s local Compiling src/gflags_reporting.cc; 0s local Compiling common/text/tree_context_visitor.cc; 0s local Compiling verilog/parser/verilog-final.tab.cc; 0s local [184 / 297] 4 actions running Compiling absl/strings/internal/cordz_info.cc; 1s local Compiling common/text/tree_context_visitor.cc; 0s local Compiling verilog/parser/verilog-final.tab.cc; 0s local Compiling verilog/CST/parameters.cc; 0s local [185 / 297] 4 actions running Compiling common/text/tree_context_visitor.cc; 0s local Compiling verilog/parser/verilog-final.tab.cc; 0s local Compiling verilog/CST/parameters.cc; 0s local Compiling verilog/CST/expression.cc; 0s local [186 / 297] 4 actions, 3 running Compiling verilog/parser/verilog-final.tab.cc; 1s local Compiling verilog/CST/parameters.cc; 0s local Compiling verilog/CST/expression.cc; 0s local [Scann] Compiling verilog/parser/verilog_token_classifications.cc [187 / 297] 4 actions running Compiling verilog/parser/verilog-final.tab.cc; 1s local Compiling verilog/CST/parameters.cc; 0s local Compiling verilog/CST/expression.cc; 0s local Compiling absl/hash/internal/city.cc; 0s local [188 / 297] 4 actions, 3 running Compiling verilog/parser/verilog-final.tab.cc; 1s local Compiling verilog/CST/parameters.cc; 1s local Compiling verilog/CST/expression.cc; 1s local [Scann] Compiling verilog/CST/verilog_matchers.cc [189 / 297] 4 actions running Compiling verilog/parser/verilog-final.tab.cc; 2s local Compiling verilog/CST/expression.cc; 1s local Compiling verilog/CST/verilog_matchers.cc; 0s local Compiling absl/flags/flag.cc; 0s local [190 / 297] 4 actions, 3 running Compiling verilog/parser/verilog-final.tab.cc; 2s local Compiling verilog/CST/verilog_matchers.cc; 0s local Compiling absl/flags/flag.cc; 0s local [Scann] Compiling absl/flags/internal/program_name.cc [190 / 297] 4 actions running Compiling verilog/parser/verilog-final.tab.cc; 2s local Compiling verilog/CST/verilog_matchers.cc; 0s local Compiling absl/flags/flag.cc; 0s local Compiling absl/flags/internal/program_name.cc; 0s local [192 / 297] 3 actions, 2 running Compiling verilog/parser/verilog-final.tab.cc; 3s local Compiling verilog/CST/verilog_matchers.cc; 1s local [Scann] Compiling common/analysis/matcher/matcher.cc INFO: From Compiling verilog/parser/verilog-final.tab.cc: bazel-out/k8-opt/bin/verilog/parser/verilog.tab.cc: In function 'int verilog::verilog_parse(verible::ParserParam*)': bazel-out/k8-opt/bin/verilog/parser/verilog.tab.cc:26198:1: warning: label 'yyexhaustedlab' defined but not used [-Wunused-label] 26198 | `----------------------------------------------------------*/ | ^~~~~~~~~~~~~~ [193 / 297] 4 actions running Compiling verilog/parser/verilog-final.tab.cc; 3s local Compiling common/analysis/matcher/bound_symbol_manager.cc; 0s local Compiling common/analysis/matcher/matcher.cc; 0s local Compiling absl/flags/usage.cc; 0s local [194 / 297] 4 actions running Compiling common/analysis/matcher/bound_symbol_manager.cc; 0s local Compiling common/analysis/matcher/matcher.cc; 0s local Compiling absl/flags/usage.cc; 0s local Compiling common/analysis/matcher/inner_match_handlers.cc; 0s local [195 / 297] 4 actions, 3 running Compiling common/analysis/matcher/bound_symbol_manager.cc; 0s local Compiling absl/flags/usage.cc; 0s local Compiling common/analysis/matcher/inner_match_handlers.cc; 0s local [Scann] Compiling absl/flags/internal/usage.cc [195 / 297] 4 actions running Compiling common/analysis/matcher/bound_symbol_manager.cc; 1s local Compiling absl/flags/usage.cc; 0s local Compiling common/analysis/matcher/inner_match_handlers.cc; 0s local Compiling absl/flags/internal/usage.cc; 0s local [198 / 297] 4 actions, 3 running Compiling absl/flags/internal/usage.cc; 0s local Compiling common/text/concrete_syntax_leaf.cc; 0s local Compiling src/utilities.cc; 0s local [Scann] Compiling common/text/token_info.cc [198 / 297] 4 actions running Compiling absl/flags/internal/usage.cc; 0s local Compiling common/text/concrete_syntax_leaf.cc; 0s local Compiling src/utilities.cc; 0s local Compiling common/text/token_info.cc; 0s local [199 / 297] 4 actions, 3 running Compiling absl/flags/internal/usage.cc; 1s local Compiling common/text/concrete_syntax_leaf.cc; 0s local Compiling common/text/token_info.cc; 0s local [Scann] Compiling common/strings/rebase.cc [200 / 297] 4 actions running Compiling absl/flags/internal/usage.cc; 1s local Compiling common/text/token_info.cc; 0s local Compiling common/strings/rebase.cc; 0s local Compiling src/demangle.cc; 0s local [201 / 297] 4 actions, 3 running Compiling common/text/token_info.cc; 1s local Compiling common/strings/rebase.cc; 0s local Compiling src/demangle.cc; 0s local [Scann] Compiling common/text/tree_compare.cc [204 / 297] 4 actions, 3 running Compiling common/text/tree_compare.cc; 0s local Compiling src/vlog_is_on.cc; 0s local Compiling src/logging.cc; 0s local [Scann] Compiling src/gflags.cc [204 / 297] 4 actions running Compiling common/text/tree_compare.cc; 0s local Compiling src/vlog_is_on.cc; 0s local Compiling src/logging.cc; 0s local Compiling src/gflags.cc; 0s local [206 / 297] 4 actions running Compiling src/logging.cc; 0s local Compiling src/gflags.cc; 0s local Compiling src/gflags_completions.cc; 0s local Compiling verilog/CST/verilog_nonterminals.cc; 0s local [206 / 297] 4 actions running Compiling src/logging.cc; 0s local Compiling src/gflags.cc; 0s local Compiling src/gflags_completions.cc; 0s local Compiling verilog/CST/verilog_nonterminals.cc; 0s local [207 / 297] 4 actions, 3 running Compiling src/gflags.cc; 0s local Compiling src/gflags_completions.cc; 0s local Compiling verilog/CST/verilog_nonterminals.cc; 0s local [Scann] Compiling absl/flags/commandlineflag.cc [207 / 297] 4 actions running Compiling src/gflags.cc; 1s local Compiling src/gflags_completions.cc; 0s local Compiling verilog/CST/verilog_nonterminals.cc; 0s local Compiling absl/flags/commandlineflag.cc; 0s local [208 / 297] 4 actions running Compiling src/gflags.cc; 1s local Compiling verilog/CST/verilog_nonterminals.cc; 1s local Compiling absl/flags/commandlineflag.cc; 0s local Compiling src/signalhandler.cc; 0s local [210 / 297] 4 actions, 3 running Compiling src/gflags.cc; 1s local Compiling src/signalhandler.cc; 0s local Compiling src/symbolize.cc; 0s local [Scann] Compiling common/text/symbol.cc [212 / 297] 4 actions, 3 running Compiling src/symbolize.cc; 0s local Compiling common/text/symbol.cc; 0s local Compiling src/raw_logging.cc; 0s local [Scann] Compiling common/text/concrete_syntax_tree.cc [212 / 297] 4 actions running Compiling src/symbolize.cc; 0s local Compiling common/text/symbol.cc; 0s local Compiling src/raw_logging.cc; 0s local Compiling common/text/concrete_syntax_tree.cc; 0s local [213 / 297] 4 actions running Compiling common/text/symbol.cc; 0s local Compiling src/raw_logging.cc; 0s local Compiling common/text/concrete_syntax_tree.cc; 0s local Compiling verilog/CST/verilog_treebuilder_utils.cc; 0s local [215 / 297] 4 actions running Compiling common/text/concrete_syntax_tree.cc; 0s local Compiling verilog/CST/verilog_treebuilder_utils.cc; 0s local Compiling absl/status/statusor.cc; 0s local Compiling common/util/spacer.cc; 0s local [216 / 297] 4 actions, 3 running Compiling verilog/CST/verilog_treebuilder_utils.cc; 0s local Compiling absl/status/statusor.cc; 0s local Compiling common/util/spacer.cc; 0s local [Scann] Compiling absl/flags/internal/private_handle_accessor.cc [217 / 297] 4 actions running Compiling verilog/CST/verilog_treebuilder_utils.cc; 0s local Compiling absl/status/statusor.cc; 0s local Compiling absl/flags/internal/private_handle_accessor.cc; 0s local Compiling common/text/tree_utils.cc; 0s local [218 / 297] 4 actions, 3 running Compiling absl/status/statusor.cc; 1s local Compiling absl/flags/internal/private_handle_accessor.cc; 0s local Compiling common/text/tree_utils.cc; 0s local [Scann] Compiling absl/flags/marshalling.cc [218 / 297] 4 actions running Compiling absl/status/statusor.cc; 1s local Compiling absl/flags/internal/private_handle_accessor.cc; 0s local Compiling common/text/tree_utils.cc; 0s local Compiling absl/flags/marshalling.cc; 0s local [220 / 297] 4 actions running Compiling common/text/tree_utils.cc; 1s local Compiling absl/flags/marshalling.cc; 0s local Compiling absl/flags/internal/flag.cc; 0s local Compiling absl/flags/reflection.cc; 0s local [221 / 297] 4 actions running Compiling absl/flags/marshalling.cc; 0s local Compiling absl/flags/internal/flag.cc; 0s local Compiling absl/flags/reflection.cc; 0s local Compiling verilog/CST/type.cc; 0s local [221 / 297] 4 actions running Compiling absl/flags/marshalling.cc; 1s local Compiling absl/flags/internal/flag.cc; 0s local Compiling absl/flags/reflection.cc; 0s local Compiling verilog/CST/type.cc; 0s local [222 / 297] 4 actions, 3 running Compiling absl/flags/internal/flag.cc; 1s local Compiling absl/flags/reflection.cc; 1s local Compiling verilog/CST/type.cc; 0s local [Scann] Compiling common/parser/bison_parser_common.cc [222 / 297] 4 actions running Compiling absl/flags/internal/flag.cc; 1s local Compiling absl/flags/reflection.cc; 1s local Compiling verilog/CST/type.cc; 1s local Compiling common/parser/bison_parser_common.cc; 0s local [223 / 297] 4 actions, 3 running Compiling absl/flags/reflection.cc; 1s local Compiling verilog/CST/type.cc; 1s local Compiling common/parser/bison_parser_common.cc; 0s local [Scann] Compiling common/analysis/matcher/descent_path.cc [224 / 297] 4 actions, 3 running Compiling verilog/CST/type.cc; 1s local Compiling common/parser/bison_parser_common.cc; 0s local Compiling common/analysis/matcher/descent_path.cc; 0s local [Scann] Compiling absl/hash/internal/low_level_hash.cc [226 / 297] 4 actions running Compiling common/analysis/matcher/descent_path.cc; 0s local Compiling absl/hash/internal/low_level_hash.cc; 0s local Compiling common/strings/display_utils.cc; 0s local Compiling absl/hash/internal/hash.cc; 0s local [227 / 297] 4 actions running Compiling common/analysis/matcher/descent_path.cc; 0s local Compiling common/strings/display_utils.cc; 0s local Compiling absl/hash/internal/hash.cc; 0s local Compiling common/parser/parser_param.cc; 0s local [228 / 297] 4 actions, 3 running Compiling common/strings/display_utils.cc; 0s local Compiling absl/hash/internal/hash.cc; 0s local Compiling common/parser/parser_param.cc; 0s local [Scann] Compiling absl/flags/usage_config.cc [228 / 297] 4 actions running Compiling common/strings/display_utils.cc; 0s local Compiling absl/hash/internal/hash.cc; 0s local Compiling common/parser/parser_param.cc; 0s local Compiling absl/flags/usage_config.cc; 0s local [229 / 297] 4 actions running Compiling absl/hash/internal/hash.cc; 1s local Compiling common/parser/parser_param.cc; 0s local Compiling absl/flags/usage_config.cc; 0s local Compiling absl/flags/internal/commandlineflag.cc; 0s local [232 / 297] 4 actions running Compiling absl/flags/usage_config.cc; 0s local Compiling verilog/CST/functions.cc; 0s local Compiling common/analysis/syntax_tree_search.cc; 0s local Compiling verilog/CST/port.cc; 0s local [233 / 297] 4 actions, 3 running Compiling verilog/CST/functions.cc; 0s local Compiling common/analysis/syntax_tree_search.cc; 0s local Compiling verilog/CST/port.cc; 0s local [Scann] Compiling verilog/parser/verilog_lexer.cc [233 / 297] 4 actions running Compiling verilog/CST/functions.cc; 1s local Compiling common/analysis/syntax_tree_search.cc; 1s local Compiling verilog/CST/port.cc; 1s local Compiling verilog/parser/verilog_lexer.cc; 0s local [234 / 297] 4 actions running Compiling common/analysis/syntax_tree_search.cc; 1s local Compiling verilog/CST/port.cc; 1s local Compiling verilog/parser/verilog_lexer.cc; 0s local Compiling common/lexer/token_stream_adapter.cc; 0s local [234 / 297] 4 actions running Compiling common/analysis/syntax_tree_search.cc; 1s local Compiling verilog/CST/port.cc; 1s local Compiling verilog/parser/verilog_lexer.cc; 0s local Compiling common/lexer/token_stream_adapter.cc; 0s local [236 / 297] 4 actions running Compiling common/analysis/syntax_tree_search.cc; 1s local Compiling common/lexer/token_stream_adapter.cc; 0s local Compiling verilog/CST/declaration.cc; 0s local Compiling absl/status/status.cc; 0s local [237 / 298] 4 actions running Compiling common/lexer/token_stream_adapter.cc; 0s local Compiling verilog/CST/declaration.cc; 0s local Compiling absl/status/status.cc; 0s local Executing genrule //verilog/parser:verilog_lex; 0s local INFO: From Executing genrule //verilog/parser:verilog_lex: verilog/parser/verilog.lex:299: warning, rule cannot be matched verilog/parser/verilog.lex:950: warning, rule cannot be matched [237 / 298] 4 actions running Compiling common/lexer/token_stream_adapter.cc; 0s local Compiling verilog/CST/declaration.cc; 0s local Compiling absl/status/status.cc; 0s local Executing genrule //verilog/parser:verilog_lex; 0s local [238 / 298] 4 actions running Compiling common/lexer/token_stream_adapter.cc; 1s local Compiling verilog/CST/declaration.cc; 0s local Compiling absl/status/status.cc; 0s local Compiling verilog/parser/verilog.yy.cc; 0s local [239 / 298] 4 actions running Compiling verilog/CST/declaration.cc; 0s local Compiling absl/status/status.cc; 0s local Compiling verilog/parser/verilog.yy.cc; 0s local Compiling absl/container/internal/hashtablez_sampler.cc; 0s local [239 / 298] 4 actions running Compiling verilog/CST/declaration.cc; 1s local Compiling absl/status/status.cc; 1s local Compiling verilog/parser/verilog.yy.cc; 0s local Compiling absl/container/internal/hashtablez_sampler.cc; 0s local [240 / 298] 4 actions, 3 running Compiling absl/status/status.cc; 1s local Compiling verilog/parser/verilog.yy.cc; 1s local Compiling absl/container/internal/hashtablez_sampler.cc; 0s local [Scann] Compiling common/text/token_stream_view.cc [242 / 298] 4 actions running Compiling absl/container/internal/hashtablez_sampler.cc; 1s local Compiling common/text/token_stream_view.cc; 0s local Compiling absl/strings/internal/cord_rep_btree.cc; 0s local Compiling absl/synchronization/notification.cc; 0s local [243 / 298] 4 actions, 3 running Compiling common/text/token_stream_view.cc; 0s local Compiling absl/strings/internal/cord_rep_btree.cc; 0s local Compiling absl/synchronization/notification.cc; 0s local [Scann] Compiling verilog/preprocessor/verilog_preprocess.cc [244 / 298] 4 actions running Compiling absl/strings/internal/cord_rep_btree.cc; 0s local Compiling absl/synchronization/notification.cc; 0s local Compiling verilog/preprocessor/verilog_preprocess.cc; 0s local Compiling absl/strings/internal/cord_rep_consume.cc; 0s local [245 / 298] 4 actions, 3 running Compiling absl/strings/internal/cord_rep_btree.cc; 1s local Compiling verilog/preprocessor/verilog_preprocess.cc; 0s local Compiling absl/strings/internal/cord_rep_consume.cc; 0s local [Scann] Compiling absl/debugging/symbolize.cc [245 / 298] 4 actions running Compiling absl/strings/internal/cord_rep_btree.cc; 1s local Compiling verilog/preprocessor/verilog_preprocess.cc; 0s local Compiling absl/strings/internal/cord_rep_consume.cc; 0s local Compiling absl/debugging/symbolize.cc; 0s local [246 / 298] 4 actions, 3 running Compiling verilog/preprocessor/verilog_preprocess.cc; 1s local Compiling absl/strings/internal/cord_rep_consume.cc; 1s local Compiling absl/debugging/symbolize.cc; 0s local [Scann] Compiling absl/debugging/internal/vdso_support.cc [247 / 298] 4 actions running Compiling verilog/preprocessor/verilog_preprocess.cc; 1s local Compiling absl/debugging/symbolize.cc; 0s local Compiling absl/debugging/internal/vdso_support.cc; 0s local Compiling common/strings/line_column_map.cc; 0s local [248 / 298] 4 actions, 3 running Compiling verilog/preprocessor/verilog_preprocess.cc; 1s local Compiling absl/debugging/symbolize.cc; 0s local Compiling common/strings/line_column_map.cc; 0s local [Scann] Compiling absl/container/internal/raw_hash_set.cc [249 / 298] 4 actions running Compiling verilog/preprocessor/verilog_preprocess.cc; 1s local Compiling common/strings/line_column_map.cc; 0s local Compiling absl/container/internal/raw_hash_set.cc; 0s local Compiling absl/synchronization/blocking_counter.cc; 0s local [251 / 298] 4 actions running Compiling absl/container/internal/raw_hash_set.cc; 0s local Compiling absl/synchronization/blocking_counter.cc; 0s local Compiling absl/debugging/internal/demangle.cc; 0s local Compiling absl/synchronization/barrier.cc; 0s local [251 / 298] 4 actions running Compiling absl/container/internal/raw_hash_set.cc; 0s local Compiling absl/synchronization/blocking_counter.cc; 0s local Compiling absl/debugging/internal/demangle.cc; 0s local Compiling absl/synchronization/barrier.cc; 0s local [252 / 298] 4 actions running Compiling absl/container/internal/raw_hash_set.cc; 0s local Compiling absl/synchronization/blocking_counter.cc; 0s local Compiling absl/synchronization/barrier.cc; 0s local Compiling absl/synchronization/internal/per_thread_sem.cc; 0s local [253 / 298] 4 actions running Compiling absl/container/internal/raw_hash_set.cc; 1s local Compiling absl/synchronization/barrier.cc; 0s local Compiling absl/synchronization/internal/per_thread_sem.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_format.cc; 0s local [255 / 298] 4 actions running Compiling absl/synchronization/internal/per_thread_sem.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_format.cc; 0s local Compiling common/strings/range.cc; 0s local Compiling absl/strings/internal/cordz_handle.cc; 0s local [256 / 298] 4 actions, 3 running Compiling absl/synchronization/internal/per_thread_sem.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_format.cc; 0s local Compiling absl/strings/internal/cordz_handle.cc; 0s local [Scann] Compiling absl/debugging/stacktrace.cc [258 / 298] 4 actions, 3 running Compiling absl/strings/internal/cordz_handle.cc; 0s local Compiling absl/debugging/stacktrace.cc; 0s local Compiling absl/random/gaussian_distribution.cc; 0s local [Scann] Compiling absl/synchronization/internal/graphcycles.cc [258 / 298] 4 actions running Compiling absl/strings/internal/cordz_handle.cc; 1s local Compiling absl/debugging/stacktrace.cc; 0s local Compiling absl/random/gaussian_distribution.cc; 0s local Compiling absl/synchronization/internal/graphcycles.cc; 0s local [260 / 298] 4 actions running Compiling absl/random/gaussian_distribution.cc; 0s local Compiling absl/synchronization/internal/graphcycles.cc; 0s local Compiling absl/debugging/internal/elf_mem_image.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_libc.cc; 0s local [261 / 298] 4 actions running Compiling absl/random/gaussian_distribution.cc; 1s local Compiling absl/debugging/internal/elf_mem_image.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_libc.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_fixed.cc; 0s local [262 / 298] 4 actions running Compiling absl/debugging/internal/elf_mem_image.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_libc.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_fixed.cc; 0s local Compiling absl/time/internal/cctz/src/zone_info_source.cc; 0s local [264 / 298] 4 actions running Compiling absl/time/internal/cctz/src/time_zone_fixed.cc; 0s local Compiling absl/time/internal/cctz/src/zone_info_source.cc; 0s local Compiling absl/strings/numbers.cc; 0s local Compiling absl/random/internal/seed_material.cc; 0s local [264 / 298] 4 actions running Compiling absl/time/internal/cctz/src/time_zone_fixed.cc; 0s local Compiling absl/time/internal/cctz/src/zone_info_source.cc; 0s local Compiling absl/strings/numbers.cc; 0s local Compiling absl/random/internal/seed_material.cc; 0s local [266 / 298] 4 actions, 3 running Compiling absl/strings/numbers.cc; 0s local Compiling absl/random/internal/seed_material.cc; 0s local Compiling absl/time/format.cc; 0s local [Scann] Compiling absl/time/internal/cctz/src/civil_time_detail.cc [266 / 298] 4 actions running Compiling absl/strings/numbers.cc; 0s local Compiling absl/random/internal/seed_material.cc; 0s local Compiling absl/time/format.cc; 0s local Compiling absl/time/internal/cctz/src/civil_time_detail.cc; 0s local [267 / 298] 4 actions, 3 running Compiling absl/strings/numbers.cc; 1s local Compiling absl/time/format.cc; 0s local Compiling absl/time/internal/cctz/src/civil_time_detail.cc; 0s local [Scann] Compiling absl/time/duration.cc [270 / 298] 4 actions, 3 running Compiling absl/time/duration.cc; 0s local Compiling absl/time/time.cc; 0s local Compiling absl/strings/internal/str_format/output.cc; 0s local [Scann] Compiling common/formatting/format_token.cc [270 / 298] 4 actions running Compiling absl/time/duration.cc; 0s local Compiling absl/time/time.cc; 0s local Compiling absl/strings/internal/str_format/output.cc; 0s local Compiling common/formatting/format_token.cc; 0s local [271 / 298] 4 actions running Compiling absl/time/duration.cc; 0s local Compiling absl/time/time.cc; 0s local Compiling common/formatting/format_token.cc; 0s local Compiling absl/time/clock.cc; 0s local [272 / 298] 4 actions, 3 running Compiling absl/time/duration.cc; 1s local Compiling common/formatting/format_token.cc; 0s local Compiling absl/time/clock.cc; 0s local [Scann] Compiling absl/time/internal/cctz/src/time_zone_info.cc [272 / 298] 4 actions running Compiling absl/time/duration.cc; 1s local Compiling common/formatting/format_token.cc; 1s local Compiling absl/time/clock.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_info.cc; 0s local [273 / 298] 4 actions, 3 running Compiling absl/time/duration.cc; 1s local Compiling common/formatting/format_token.cc; 1s local Compiling absl/time/internal/cctz/src/time_zone_info.cc; 0s local [Prepa] Compiling verilog/formatting/tree_unwrapper.cc [274 / 298] 4 actions running Compiling common/formatting/format_token.cc; 1s local Compiling absl/time/internal/cctz/src/time_zone_info.cc; 0s local Compiling verilog/formatting/tree_unwrapper.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_impl.cc; 0s local [275 / 298] 4 actions running Compiling absl/time/internal/cctz/src/time_zone_info.cc; 1s local Compiling verilog/formatting/tree_unwrapper.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_impl.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_posix.cc; 0s local [276 / 298] 4 actions, 3 running Compiling verilog/formatting/tree_unwrapper.cc; 1s local Compiling absl/time/internal/cctz/src/time_zone_impl.cc; 1s local Compiling absl/time/internal/cctz/src/time_zone_posix.cc; 0s local [Scann] Compiling verilog/parser/verilog_lexical_context.cc [278 / 298] 4 actions running Compiling verilog/formatting/tree_unwrapper.cc; 1s local Compiling verilog/parser/verilog_lexical_context.cc; 0s local Compiling absl/strings/escaping.cc; 0s local Compiling verilog/CST/statement.cc; 0s local [279 / 298] 4 actions, 3 running Compiling verilog/formatting/tree_unwrapper.cc; 2s local Compiling absl/strings/escaping.cc; 1s local Compiling verilog/CST/statement.cc; 1s local [Scann] Compiling absl/strings/internal/str_format/extension.cc [281 / 298] 4 actions, 3 running Compiling verilog/formatting/tree_unwrapper.cc; 2s local Compiling absl/strings/internal/str_format/extension.cc; 0s local Compiling absl/strings/internal/str_format/float_conversion.cc; 0s local [Scann] Compiling common/formatting/tree_annotator.cc [281 / 298] 4 actions running Compiling verilog/formatting/tree_unwrapper.cc; 2s local Compiling absl/strings/internal/str_format/extension.cc; 0s local Compiling absl/strings/internal/str_format/float_conversion.cc; 0s local Compiling common/formatting/tree_annotator.cc; 0s local [282 / 298] 4 actions, 3 running Compiling absl/strings/internal/str_format/extension.cc; 0s local Compiling absl/strings/internal/str_format/float_conversion.cc; 0s local Compiling common/formatting/tree_annotator.cc; 0s local [Scann] Compiling absl/types/bad_optional_access.cc [284 / 298] 4 actions, 3 running Compiling common/formatting/tree_annotator.cc; 0s local Compiling absl/types/bad_optional_access.cc; 0s local Compiling absl/strings/internal/charconv_parse.cc; 0s local [Scann] Compiling absl/strings/ascii.cc [285 / 298] 4 actions running Compiling common/formatting/tree_annotator.cc; 1s local Compiling absl/strings/internal/charconv_parse.cc; 0s local Compiling absl/strings/ascii.cc; 0s local Compiling verilog/formatting/comment_controls.cc; 0s local [286 / 298] 4 actions running Compiling common/formatting/tree_annotator.cc; 1s local Compiling absl/strings/ascii.cc; 0s local Compiling verilog/formatting/comment_controls.cc; 0s local Compiling absl/strings/str_cat.cc; 0s local [288 / 298] 4 actions running Compiling verilog/formatting/comment_controls.cc; 0s local Compiling absl/strings/str_cat.cc; 0s local Compiling absl/strings/internal/charconv_bigint.cc; 0s local Compiling absl/types/bad_variant_access.cc; 0s local [288 / 298] 4 actions running Compiling verilog/formatting/comment_controls.cc; 0s local Compiling absl/strings/str_cat.cc; 0s local Compiling absl/strings/internal/charconv_bigint.cc; 0s local Compiling absl/types/bad_variant_access.cc; 0s local [289 / 298] 4 actions running Compiling verilog/formatting/comment_controls.cc; 1s local Compiling absl/strings/str_cat.cc; 0s local Compiling absl/strings/internal/charconv_bigint.cc; 0s local Compiling absl/strings/str_replace.cc; 0s local [290 / 298] 4 actions running Compiling verilog/formatting/comment_controls.cc; 1s local Compiling absl/strings/str_cat.cc; 0s local Compiling absl/strings/str_replace.cc; 0s local Compiling absl/flags/parse.cc; 0s local [291 / 298] 4 actions running Compiling verilog/formatting/comment_controls.cc; 1s local Compiling absl/strings/str_replace.cc; 0s local Compiling absl/flags/parse.cc; 0s local Compiling absl/time/civil_time.cc; 0s local [292 / 298] 4 actions, 3 running Compiling verilog/formatting/comment_controls.cc; 1s local Compiling absl/flags/parse.cc; 0s local Compiling absl/time/civil_time.cc; 0s local [Prepa] Writing file .../tools/formatter/verible-verilog-format-2.params [297 / 386] 4 actions running Compiling verilog/formatting/comment_controls.cc; 2s local Compiling absl/flags/parse.cc; 0s local Compiling absl/time/civil_time.cc; 0s local Compiling verilog/tools/lint/verilog_lint.cc; 0s local [298 / 386] 4 actions running Compiling absl/flags/parse.cc; 1s local Compiling absl/time/civil_time.cc; 1s local Compiling verilog/tools/lint/verilog_lint.cc; 0s local Compiling common/analysis/lint_waiver.cc; 0s local [299 / 387] 4 actions, 3 running Compiling absl/flags/parse.cc; 1s local Compiling verilog/tools/lint/verilog_lint.cc; 0s local Compiling common/analysis/lint_waiver.cc; 0s local [Prepa] Executing genrule //common/analysis:command_file_lex INFO: From Executing genrule //common/analysis:command_file_lex: common/analysis/command_file.lex:77: warning, rule cannot be matched [299 / 387] 4 actions running Compiling absl/flags/parse.cc; 1s local Compiling verilog/tools/lint/verilog_lint.cc; 0s local Compiling common/analysis/lint_waiver.cc; 0s local Executing genrule //common/analysis:command_file_lex; 0s local [300 / 387] 4 actions running Compiling absl/flags/parse.cc; 2s local Compiling verilog/tools/lint/verilog_lint.cc; 1s local Compiling common/analysis/lint_waiver.cc; 1s local Compiling common/analysis/command_file.yy.cc; 0s local [301 / 387] 4 actions, 3 running Compiling verilog/tools/lint/verilog_lint.cc; 1s local Compiling common/analysis/lint_waiver.cc; 1s local Compiling common/analysis/command_file.yy.cc; 0s local [Prepa] Linking verilog/tools/formatter/verible-verilog-format [301 / 387] 4 actions running Compiling verilog/tools/lint/verilog_lint.cc; 1s local Compiling common/analysis/lint_waiver.cc; 1s local Compiling common/analysis/command_file.yy.cc; 0s local Linking verilog/tools/formatter/verible-verilog-format; 0s local [302 / 387] 4 actions, 3 running Compiling common/analysis/lint_waiver.cc; 2s local Compiling common/analysis/command_file.yy.cc; 1s local Linking verilog/tools/formatter/verible-verilog-format; 1s local [Scann] Compiling .../checkers/numeric_format_string_style_rule.cc [302 / 387] 4 actions running Compiling common/analysis/lint_waiver.cc; 2s local Compiling common/analysis/command_file.yy.cc; 2s local Linking verilog/tools/formatter/verible-verilog-format; 1s local Compiling .../checkers/numeric_format_string_style_rule.cc; 0s local [303 / 387] 4 actions, 3 running Compiling common/analysis/lint_waiver.cc; 3s local Linking verilog/tools/formatter/verible-verilog-format; 1s local Compiling .../checkers/numeric_format_string_style_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/package_filename_rule.cc [303 / 387] 4 actions running Compiling common/analysis/lint_waiver.cc; 3s local Linking verilog/tools/formatter/verible-verilog-format; 2s local Compiling .../checkers/numeric_format_string_style_rule.cc; 0s local Compiling verilog/analysis/checkers/package_filename_rule.cc; 0s local [303 / 387] 4 actions running Compiling common/analysis/lint_waiver.cc; 4s local Linking verilog/tools/formatter/verible-verilog-format; 3s local Compiling .../checkers/numeric_format_string_style_rule.cc; 1s local Compiling verilog/analysis/checkers/package_filename_rule.cc; 1s local [304 / 387] 4 actions, 3 running Compiling common/analysis/lint_waiver.cc; 4s local Linking verilog/tools/formatter/verible-verilog-format; 3s local Compiling verilog/analysis/checkers/package_filename_rule.cc; 1s local [Scann] Compiling common/analysis/syntax_tree_linter.cc [304 / 387] 4 actions running Compiling common/analysis/lint_waiver.cc; 5s local Linking verilog/tools/formatter/verible-verilog-format; 3s local Compiling verilog/analysis/checkers/package_filename_rule.cc; 1s local Compiling common/analysis/syntax_tree_linter.cc; 0s local [305 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 3s local Compiling verilog/analysis/checkers/package_filename_rule.cc; 2s local Compiling common/analysis/syntax_tree_linter.cc; 0s local Compiling common/analysis/text_structure_linter.cc; 0s local [305 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 4s local Compiling verilog/analysis/checkers/package_filename_rule.cc; 2s local Compiling common/analysis/syntax_tree_linter.cc; 0s local Compiling common/analysis/text_structure_linter.cc; 0s local [306 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 4s local Compiling common/analysis/syntax_tree_linter.cc; 0s local Compiling common/analysis/text_structure_linter.cc; 0s local [Scann] Compiling common/analysis/violation_handler.cc [306 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 4s local Compiling common/analysis/syntax_tree_linter.cc; 1s local Compiling common/analysis/text_structure_linter.cc; 0s local Compiling common/analysis/violation_handler.cc; 0s local [307 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 5s local Compiling common/analysis/text_structure_linter.cc; 1s local Compiling common/analysis/violation_handler.cc; 0s local [Scann] Compiling verilog/analysis/checkers/void_cast_rule.cc [307 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 5s local Compiling common/analysis/text_structure_linter.cc; 1s local Compiling common/analysis/violation_handler.cc; 1s local Compiling verilog/analysis/checkers/void_cast_rule.cc; 0s local [308 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 5s local Compiling common/analysis/violation_handler.cc; 1s local Compiling verilog/analysis/checkers/void_cast_rule.cc; 0s local Compiling common/util/user_interaction.cc; 0s local [308 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 5s local Compiling common/analysis/violation_handler.cc; 1s local Compiling verilog/analysis/checkers/void_cast_rule.cc; 0s local Compiling common/util/user_interaction.cc; 0s local [309 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 6s local Compiling common/analysis/violation_handler.cc; 2s local Compiling verilog/analysis/checkers/void_cast_rule.cc; 1s local [Scann] Compiling verilog/analysis/verilog_linter_configuration.cc [310 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 6s local Compiling verilog/analysis/checkers/void_cast_rule.cc; 1s local Compiling verilog/analysis/verilog_linter_configuration.cc; 0s local Compiling verilog/analysis/checkers/always_comb_blocking_rule.cc; 0s local [310 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 7s local Compiling verilog/analysis/checkers/void_cast_rule.cc; 2s local Compiling verilog/analysis/verilog_linter_configuration.cc; 1s local Compiling verilog/analysis/checkers/always_comb_blocking_rule.cc; 1s local [311 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 8s local Compiling verilog/analysis/verilog_linter_configuration.cc; 1s local Compiling verilog/analysis/checkers/always_comb_blocking_rule.cc; 1s local [Scann] Compiling verilog/analysis/checkers/always_comb_rule.cc [311 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 8s local Compiling verilog/analysis/verilog_linter_configuration.cc; 1s local Compiling verilog/analysis/checkers/always_comb_blocking_rule.cc; 1s local Compiling verilog/analysis/checkers/always_comb_rule.cc; 0s local [312 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 9s local Compiling verilog/analysis/checkers/always_comb_blocking_rule.cc; 2s local Compiling verilog/analysis/checkers/always_comb_rule.cc; 1s local [Scann] Compiling verilog/analysis/checkers/always_ff_non_blocking_rule.cc [312 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 9s local Compiling verilog/analysis/checkers/always_comb_blocking_rule.cc; 2s local Compiling verilog/analysis/checkers/always_comb_rule.cc; 1s local Compiling .../analysis/checkers/always_ff_non_blocking_rule.cc; 0s local [313 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 9s local Compiling verilog/analysis/checkers/always_comb_rule.cc; 1s local Compiling .../analysis/checkers/always_ff_non_blocking_rule.cc; 0s local Compiling .../checkers/banned_declared_name_patterns_rule.cc; 0s local [313 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 9s local Compiling verilog/analysis/checkers/always_comb_rule.cc; 1s local Compiling .../analysis/checkers/always_ff_non_blocking_rule.cc; 0s local Compiling .../checkers/banned_declared_name_patterns_rule.cc; 0s local [314 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 10s local Compiling .../analysis/checkers/always_ff_non_blocking_rule.cc; 1s local Compiling .../checkers/banned_declared_name_patterns_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/constraint_name_style_rule.cc [314 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 10s local Compiling .../analysis/checkers/always_ff_non_blocking_rule.cc; 1s local Compiling .../checkers/banned_declared_name_patterns_rule.cc; 1s local Compiling .../analysis/checkers/constraint_name_style_rule.cc; 0s local [314 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 11s local Compiling .../analysis/checkers/always_ff_non_blocking_rule.cc; 2s local Compiling .../checkers/banned_declared_name_patterns_rule.cc; 2s local Compiling .../analysis/checkers/constraint_name_style_rule.cc; 1s local [315 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 11s local Compiling .../checkers/banned_declared_name_patterns_rule.cc; 2s local Compiling .../analysis/checkers/constraint_name_style_rule.cc; 1s local [Scann] Compiling verilog/CST/numbers.cc [316 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 12s local Compiling .../analysis/checkers/constraint_name_style_rule.cc; 1s local Compiling verilog/CST/numbers.cc; 0s local [Scann] Compiling verilog/CST/constraints.cc [316 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 12s local Compiling .../analysis/checkers/constraint_name_style_rule.cc; 2s local Compiling verilog/CST/numbers.cc; 0s local Compiling verilog/CST/constraints.cc; 0s local [317 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 12s local Compiling verilog/CST/numbers.cc; 0s local Compiling verilog/CST/constraints.cc; 0s local [Scann] Compiling .../analysis/checkers/create_object_name_match_rule.cc [318 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 13s local Compiling verilog/CST/constraints.cc; 0s local Compiling .../analysis/checkers/create_object_name_match_rule.cc; 0s local Compiling verilog/CST/verilog_tree_print.cc; 0s local [319 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 13s local Compiling .../analysis/checkers/create_object_name_match_rule.cc; 0s local Compiling verilog/CST/verilog_tree_print.cc; 0s local [Scann] Compiling .../analysis/checkers/struct_union_name_style_rule.cc [319 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 13s local Compiling .../analysis/checkers/create_object_name_match_rule.cc; 0s local Compiling verilog/CST/verilog_tree_print.cc; 0s local Compiling .../analysis/checkers/struct_union_name_style_rule.cc; 0s local [320 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 14s local Compiling .../analysis/checkers/create_object_name_match_rule.cc; 1s local Compiling .../analysis/checkers/struct_union_name_style_rule.cc; 1s local [Scann] Compiling verilog/analysis/checkers/disable_statement_rule.cc [320 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 14s local Compiling .../analysis/checkers/create_object_name_match_rule.cc; 2s local Compiling .../analysis/checkers/struct_union_name_style_rule.cc; 1s local Compiling verilog/analysis/checkers/disable_statement_rule.cc; 0s local [321 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 15s local Compiling .../analysis/checkers/struct_union_name_style_rule.cc; 1s local Compiling verilog/analysis/checkers/disable_statement_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/endif_comment_rule.cc [321 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 15s local Compiling .../analysis/checkers/struct_union_name_style_rule.cc; 2s local Compiling verilog/analysis/checkers/disable_statement_rule.cc; 1s local Compiling verilog/analysis/checkers/endif_comment_rule.cc; 0s local [322 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 15s local Compiling verilog/analysis/checkers/disable_statement_rule.cc; 1s local Compiling verilog/analysis/checkers/endif_comment_rule.cc; 0s local [Scann] Compiling .../analysis/checkers/explicit_function_lifetime_rule.cc [322 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 16s local Compiling verilog/analysis/checkers/disable_statement_rule.cc; 1s local Compiling verilog/analysis/checkers/endif_comment_rule.cc; 0s local Compiling .../checkers/explicit_function_lifetime_rule.cc; 0s local [323 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 16s local Compiling verilog/analysis/checkers/endif_comment_rule.cc; 1s local Compiling .../checkers/explicit_function_lifetime_rule.cc; 0s local [Scann] Compiling .../checkers/proper_parameter_declaration_rule.cc [323 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 16s local Compiling verilog/analysis/checkers/endif_comment_rule.cc; 1s local Compiling .../checkers/explicit_function_lifetime_rule.cc; 0s local Compiling .../checkers/proper_parameter_declaration_rule.cc; 0s local [324 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 17s local Compiling .../checkers/explicit_function_lifetime_rule.cc; 1s local Compiling .../checkers/proper_parameter_declaration_rule.cc; 1s local [Scann] .../analysis/checkers:explicit_function_task_parameter_type_rule [324 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 18s local Compiling .../checkers/explicit_function_lifetime_rule.cc; 2s local Compiling .../checkers/proper_parameter_declaration_rule.cc; 1s local .../analysis/checkers:explicit_function_task_parameter_type_rule; 0s local [325 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 18s local Compiling .../checkers/proper_parameter_declaration_rule.cc; 1s local .../analysis/checkers:explicit_function_task_parameter_type_rule; 0s local Compiling .../checkers/explicit_parameter_storage_type_rule.cc; 0s local [325 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 18s local Compiling .../checkers/proper_parameter_declaration_rule.cc; 2s local .../analysis/checkers:explicit_function_task_parameter_type_rule; 0s local Compiling .../checkers/explicit_parameter_storage_type_rule.cc; 0s local [326 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 18s local .../analysis/checkers:explicit_function_task_parameter_type_rule; 1s local Compiling .../checkers/explicit_parameter_storage_type_rule.cc; 0s local Compiling verilog/CST/tasks.cc; 0s local [326 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 19s local .../analysis/checkers:explicit_function_task_parameter_type_rule; 1s local Compiling .../checkers/explicit_parameter_storage_type_rule.cc; 0s local Compiling verilog/CST/tasks.cc; 0s local [327 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 20s local .../analysis/checkers:explicit_function_task_parameter_type_rule; 2s local Compiling .../checkers/explicit_parameter_storage_type_rule.cc; 1s local [Scann] Compiling .../checkers/forbid_consecutive_null_statements_rule.cc [329 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 20s local .../analysis/checkers:forbid_consecutive_null_statements_rule; 0s local .../analysis/checkers:forbidden_anonymous_structs_unions_rule; 0s local [Scann] Compiling verilog/analysis/checkers/forbidden_macro_rule.cc [329 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 20s local .../analysis/checkers:forbid_consecutive_null_statements_rule; 0s local .../analysis/checkers:forbidden_anonymous_structs_unions_rule; 0s local Compiling verilog/analysis/checkers/forbidden_macro_rule.cc; 0s local [329 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 21s local .../analysis/checkers:forbid_consecutive_null_statements_rule; 1s local .../analysis/checkers:forbidden_anonymous_structs_unions_rule; 1s local Compiling verilog/analysis/checkers/forbidden_macro_rule.cc; 1s local [330 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 22s local .../analysis/checkers:forbidden_anonymous_structs_unions_rule; 1s local Compiling verilog/analysis/checkers/forbidden_macro_rule.cc; 1s local [Scann] Compiling verilog/analysis/checkers/generate_label_prefix_rule.cc [330 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 22s local .../analysis/checkers:forbidden_anonymous_structs_unions_rule; 2s local Compiling verilog/analysis/checkers/forbidden_macro_rule.cc; 2s local Compiling .../analysis/checkers/generate_label_prefix_rule.cc; 0s local [332 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 22s local Compiling .../analysis/checkers/generate_label_prefix_rule.cc; 0s local Compiling .../checkers/forbidden_anonymous_enums_rule.cc; 0s local Compiling .../analysis/checkers/legacy_generate_region_rule.cc; 0s local [332 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 23s local Compiling .../analysis/checkers/generate_label_prefix_rule.cc; 0s local Compiling .../checkers/forbidden_anonymous_enums_rule.cc; 0s local Compiling .../analysis/checkers/legacy_generate_region_rule.cc; 0s local [332 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 24s local Compiling .../analysis/checkers/generate_label_prefix_rule.cc; 1s local Compiling .../checkers/forbidden_anonymous_enums_rule.cc; 1s local Compiling .../analysis/checkers/legacy_generate_region_rule.cc; 1s local [333 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 24s local Compiling .../checkers/forbidden_anonymous_enums_rule.cc; 1s local Compiling .../analysis/checkers/legacy_generate_region_rule.cc; 1s local [Scann] Compiling verilog/analysis/checkers/module_filename_rule.cc [335 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 24s local Compiling verilog/analysis/checkers/module_filename_rule.cc; 0s local Compiling verilog/analysis/checkers/no_trailing_spaces_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/macro_name_style_rule.cc [335 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 24s local Compiling verilog/analysis/checkers/module_filename_rule.cc; 0s local Compiling verilog/analysis/checkers/no_trailing_spaces_rule.cc; 0s local Compiling verilog/analysis/checkers/macro_name_style_rule.cc; 0s local [335 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 25s local Compiling verilog/analysis/checkers/module_filename_rule.cc; 1s local Compiling verilog/analysis/checkers/no_trailing_spaces_rule.cc; 1s local Compiling verilog/analysis/checkers/macro_name_style_rule.cc; 1s local [336 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 26s local Compiling verilog/analysis/checkers/module_filename_rule.cc; 2s local Compiling verilog/analysis/checkers/macro_name_style_rule.cc; 1s local [Scann] Compiling verilog/analysis/checkers/plusarg_assignment_rule.cc [337 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 26s local Compiling verilog/analysis/checkers/macro_name_style_rule.cc; 2s local Compiling verilog/analysis/checkers/plusarg_assignment_rule.cc; 0s local Compiling verilog/analysis/checkers/generate_label_rule.cc; 0s local [338 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 26s local Compiling verilog/analysis/checkers/plusarg_assignment_rule.cc; 0s local Compiling verilog/analysis/checkers/generate_label_rule.cc; 0s local Compiling .../analysis/checkers/module_instantiation_rules.cc; 0s local [338 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 27s local Compiling verilog/analysis/checkers/plusarg_assignment_rule.cc; 0s local Compiling verilog/analysis/checkers/generate_label_rule.cc; 0s local Compiling .../analysis/checkers/module_instantiation_rules.cc; 0s local [338 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 28s local Compiling verilog/analysis/checkers/plusarg_assignment_rule.cc; 1s local Compiling verilog/analysis/checkers/generate_label_rule.cc; 1s local Compiling .../analysis/checkers/module_instantiation_rules.cc; 1s local [339 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 28s local Compiling verilog/analysis/checkers/generate_label_rule.cc; 1s local Compiling .../analysis/checkers/module_instantiation_rules.cc; 1s local Compiling verilog/analysis/checkers/mismatched_labels_rule.cc; 0s local [339 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 28s local Compiling verilog/analysis/checkers/generate_label_rule.cc; 2s local Compiling .../analysis/checkers/module_instantiation_rules.cc; 1s local Compiling verilog/analysis/checkers/mismatched_labels_rule.cc; 0s local [340 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 29s local Compiling .../analysis/checkers/module_instantiation_rules.cc; 2s local Compiling verilog/analysis/checkers/mismatched_labels_rule.cc; 0s local Compiling .../checkers/legacy_genvar_declaration_rule.cc; 0s local [341 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 29s local Compiling verilog/analysis/checkers/mismatched_labels_rule.cc; 1s local Compiling .../checkers/legacy_genvar_declaration_rule.cc; 0s local [Scann] Compiling .../analysis/checkers/macro_string_concatenation_rule.cc [341 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 29s local Compiling verilog/analysis/checkers/mismatched_labels_rule.cc; 1s local Compiling .../checkers/legacy_genvar_declaration_rule.cc; 0s local Compiling .../checkers/macro_string_concatenation_rule.cc; 0s local [342 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 30s local Compiling .../checkers/legacy_genvar_declaration_rule.cc; 1s local Compiling .../checkers/macro_string_concatenation_rule.cc; 0s local [Scann] Compiling verilog/CST/seq_block.cc [342 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 30s local Compiling .../checkers/legacy_genvar_declaration_rule.cc; 1s local Compiling .../checkers/macro_string_concatenation_rule.cc; 1s local Compiling verilog/CST/seq_block.cc; 0s local [343 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 31s local Compiling .../checkers/macro_string_concatenation_rule.cc; 1s local Compiling verilog/CST/seq_block.cc; 0s local [Scann] Compiling verilog/analysis/checkers/module_begin_block_rule.cc [345 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 31s local Compiling verilog/analysis/checkers/module_begin_block_rule.cc; 0s local Compiling verilog/analysis/checkers/line_length_rule.cc; 0s local Compiling verilog/analysis/checkers/no_tabs_rule.cc; 0s local [345 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 32s local Compiling verilog/analysis/checkers/module_begin_block_rule.cc; 1s local Compiling verilog/analysis/checkers/line_length_rule.cc; 1s local Compiling verilog/analysis/checkers/no_tabs_rule.cc; 1s local [346 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 33s local Compiling verilog/analysis/checkers/module_begin_block_rule.cc; 1s local Compiling verilog/analysis/checkers/no_tabs_rule.cc; 1s local [Scann] Compiling verilog/analysis/checkers/interface_name_style_rule.cc [347 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 33s local Compiling verilog/analysis/checkers/module_begin_block_rule.cc; 2s local Compiling verilog/analysis/checkers/interface_name_style_rule.cc; 0s local Compiling verilog/analysis/checkers/forbid_defparam_rule.cc; 0s local [348 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 33s local Compiling verilog/analysis/checkers/interface_name_style_rule.cc; 0s local Compiling verilog/analysis/checkers/forbid_defparam_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/posix_eof_rule.cc [348 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 34s local Compiling verilog/analysis/checkers/interface_name_style_rule.cc; 0s local Compiling verilog/analysis/checkers/forbid_defparam_rule.cc; 0s local Compiling verilog/analysis/checkers/posix_eof_rule.cc; 0s local [348 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 35s local Compiling verilog/analysis/checkers/interface_name_style_rule.cc; 1s local Compiling verilog/analysis/checkers/forbid_defparam_rule.cc; 1s local Compiling verilog/analysis/checkers/posix_eof_rule.cc; 1s local [349 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 35s local Compiling verilog/analysis/checkers/forbid_defparam_rule.cc; 2s local Compiling verilog/analysis/checkers/posix_eof_rule.cc; 1s local Compiling verilog/CST/data.cc; 0s local [350 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 35s local Compiling verilog/analysis/checkers/posix_eof_rule.cc; 1s local Compiling verilog/CST/data.cc; 0s local Compiling common/text/config_utils.cc; 0s local [351 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 36s local Compiling verilog/CST/data.cc; 0s local Compiling common/text/config_utils.cc; 0s local [Scann] Compiling verilog/analysis/checkers/forbidden_symbol_rule.cc [351 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 36s local Compiling verilog/CST/data.cc; 1s local Compiling common/text/config_utils.cc; 1s local Compiling verilog/analysis/checkers/forbidden_symbol_rule.cc; 0s local [352 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 36s local Compiling common/text/config_utils.cc; 1s local Compiling verilog/analysis/checkers/forbidden_symbol_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/explicit_task_lifetime_rule.cc [353 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 37s local Compiling verilog/analysis/checkers/forbidden_symbol_rule.cc; 0s local Compiling .../analysis/checkers/explicit_task_lifetime_rule.cc; 0s local Compiling .../checkers/parameter_type_name_style_rule.cc; 0s local [353 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 38s local Compiling verilog/analysis/checkers/forbidden_symbol_rule.cc; 1s local Compiling .../analysis/checkers/explicit_task_lifetime_rule.cc; 1s local Compiling .../checkers/parameter_type_name_style_rule.cc; 1s local [354 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 38s local Compiling .../analysis/checkers/explicit_task_lifetime_rule.cc; 1s local Compiling .../checkers/parameter_type_name_style_rule.cc; 1s local [Scann] Compiling verilog/CST/net.cc [356 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 39s local Compiling verilog/CST/net.cc; 0s local Compiling verilog/analysis/checkers/packed_dimensions_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/parameter_name_style_rule.cc [356 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 39s local Compiling verilog/CST/net.cc; 0s local Compiling verilog/analysis/checkers/packed_dimensions_rule.cc; 0s local Compiling verilog/analysis/checkers/parameter_name_style_rule.cc; 0s local [357 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 40s local Compiling verilog/analysis/checkers/packed_dimensions_rule.cc; 1s local Compiling verilog/analysis/checkers/parameter_name_style_rule.cc; 1s local [Scann] Compiling .../analysis/checkers/truncated_numeric_literal_rule.cc [357 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 40s local Compiling verilog/analysis/checkers/packed_dimensions_rule.cc; 1s local Compiling verilog/analysis/checkers/parameter_name_style_rule.cc; 1s local Compiling .../checkers/truncated_numeric_literal_rule.cc; 0s local [358 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 41s local Compiling verilog/analysis/checkers/parameter_name_style_rule.cc; 2s local Compiling .../checkers/truncated_numeric_literal_rule.cc; 1s local [Scann] Compiling verilog/analysis/checkers/enum_name_style_rule.cc [358 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 41s local Compiling verilog/analysis/checkers/parameter_name_style_rule.cc; 2s local Compiling .../checkers/truncated_numeric_literal_rule.cc; 1s local Compiling verilog/analysis/checkers/enum_name_style_rule.cc; 0s local [359 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 41s local Compiling .../checkers/truncated_numeric_literal_rule.cc; 1s local Compiling verilog/analysis/checkers/enum_name_style_rule.cc; 0s local Compiling verilog/CST/package.cc; 0s local [359 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 41s local Compiling .../checkers/truncated_numeric_literal_rule.cc; 1s local Compiling verilog/analysis/checkers/enum_name_style_rule.cc; 0s local Compiling verilog/CST/package.cc; 0s local [360 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 42s local Compiling verilog/analysis/checkers/enum_name_style_rule.cc; 1s local Compiling verilog/CST/package.cc; 0s local [Scann] Compiling .../checkers/positive_meaning_parameter_name_rule.cc [360 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 42s local Compiling verilog/analysis/checkers/enum_name_style_rule.cc; 1s local Compiling verilog/CST/package.cc; 1s local Compiling .../checkers/positive_meaning_parameter_name_rule.cc; 0s local [361 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 42s local Compiling verilog/analysis/checkers/enum_name_style_rule.cc; 1s local Compiling .../checkers/positive_meaning_parameter_name_rule.cc; 0s local Compiling verilog/analysis/checkers/unpacked_dimensions_rule.cc; 0s local [361 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 43s local Compiling verilog/analysis/checkers/enum_name_style_rule.cc; 2s local Compiling .../checkers/positive_meaning_parameter_name_rule.cc; 0s local Compiling verilog/analysis/checkers/unpacked_dimensions_rule.cc; 0s local [362 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 43s local Compiling .../checkers/positive_meaning_parameter_name_rule.cc; 1s local Compiling verilog/analysis/checkers/unpacked_dimensions_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/port_name_suffix_rule.cc [362 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 43s local Compiling .../checkers/positive_meaning_parameter_name_rule.cc; 1s local Compiling verilog/analysis/checkers/unpacked_dimensions_rule.cc; 0s local Compiling verilog/analysis/checkers/port_name_suffix_rule.cc; 0s local [363 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 44s local Compiling verilog/analysis/checkers/unpacked_dimensions_rule.cc; 1s local Compiling verilog/analysis/checkers/port_name_suffix_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/signal_name_style_rule.cc [363 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 44s local Compiling verilog/analysis/checkers/unpacked_dimensions_rule.cc; 1s local Compiling verilog/analysis/checkers/port_name_suffix_rule.cc; 1s local Compiling verilog/analysis/checkers/signal_name_style_rule.cc; 0s local [364 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 44s local Compiling verilog/analysis/checkers/port_name_suffix_rule.cc; 1s local Compiling verilog/analysis/checkers/signal_name_style_rule.cc; 0s local Compiling verilog/analysis/checkers/v2001_generate_begin_rule.cc; 0s local [364 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 45s local Compiling verilog/analysis/checkers/port_name_suffix_rule.cc; 1s local Compiling verilog/analysis/checkers/signal_name_style_rule.cc; 0s local Compiling verilog/analysis/checkers/v2001_generate_begin_rule.cc; 0s local [365 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 45s local Compiling verilog/analysis/checkers/signal_name_style_rule.cc; 1s local Compiling verilog/analysis/checkers/v2001_generate_begin_rule.cc; 0s local [Scann] Compiling verilog/CST/dimensions.cc [365 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 46s local Compiling verilog/analysis/checkers/signal_name_style_rule.cc; 1s local Compiling verilog/analysis/checkers/v2001_generate_begin_rule.cc; 1s local Compiling verilog/CST/dimensions.cc; 0s local [366 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 46s local Compiling verilog/analysis/checkers/v2001_generate_begin_rule.cc; 1s local Compiling verilog/CST/dimensions.cc; 0s local [Scann] Compiling verilog/analysis/checkers/case_missing_default_rule.cc [366 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 46s local Compiling verilog/analysis/checkers/v2001_generate_begin_rule.cc; 1s local Compiling verilog/CST/dimensions.cc; 0s local Compiling verilog/analysis/checkers/case_missing_default_rule.cc; 0s local [368 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 47s local Compiling verilog/analysis/checkers/case_missing_default_rule.cc; 0s local Compiling verilog/analysis/lint_rule_registry.cc; 0s local [Scann] Compiling verilog/analysis/checkers/token_stream_lint_rule.cc [368 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 47s local Compiling verilog/analysis/checkers/case_missing_default_rule.cc; 0s local Compiling verilog/analysis/lint_rule_registry.cc; 0s local Compiling verilog/analysis/checkers/token_stream_lint_rule.cc; 0s local [368 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 48s local Compiling verilog/analysis/checkers/case_missing_default_rule.cc; 1s local Compiling verilog/analysis/lint_rule_registry.cc; 1s local Compiling verilog/analysis/checkers/token_stream_lint_rule.cc; 1s local [369 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 48s local Compiling verilog/analysis/lint_rule_registry.cc; 1s local Compiling verilog/analysis/checkers/token_stream_lint_rule.cc; 1s local [Scann] Compiling verilog/analysis/checkers/one_module_per_file_rule.cc [369 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 49s local Compiling verilog/analysis/lint_rule_registry.cc; 2s local Compiling verilog/analysis/checkers/token_stream_lint_rule.cc; 1s local Compiling verilog/analysis/checkers/one_module_per_file_rule.cc; 0s local [370 / 387] 4 actions, 3 running Linking verilog/tools/formatter/verible-verilog-format; 49s local Compiling verilog/analysis/checkers/token_stream_lint_rule.cc; 2s local Compiling verilog/analysis/checkers/one_module_per_file_rule.cc; 0s local [Scann] Compiling verilog/analysis/checkers/suggest_parentheses_rule.cc INFO: From Linking verilog/tools/formatter/verible-verilog-format: lto-wrapper: warning: using serial compilation of 26 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [371 / 387] 4 actions running Linking verilog/tools/formatter/verible-verilog-format; 49s local Compiling verilog/analysis/checkers/one_module_per_file_rule.cc; 0s local Compiling verilog/analysis/checkers/suggest_parentheses_rule.cc; 0s local Compiling .../checkers/undersized_binary_literal_rule.cc; 0s local [372 / 387] 4 actions running Compiling verilog/analysis/checkers/one_module_per_file_rule.cc; 1s local Compiling verilog/analysis/checkers/suggest_parentheses_rule.cc; 0s local Compiling .../checkers/undersized_binary_literal_rule.cc; 0s local Compiling common/strings/naming_utils.cc; 0s local [373 / 387] 4 actions, 3 running Compiling verilog/analysis/checkers/one_module_per_file_rule.cc; 1s local Compiling verilog/analysis/checkers/suggest_parentheses_rule.cc; 0s local Compiling .../checkers/undersized_binary_literal_rule.cc; 0s local [Scann] Compiling common/analysis/token_stream_linter.cc [373 / 387] 4 actions running Compiling verilog/analysis/checkers/one_module_per_file_rule.cc; 2s local Compiling verilog/analysis/checkers/suggest_parentheses_rule.cc; 1s local Compiling .../checkers/undersized_binary_literal_rule.cc; 1s local Compiling common/analysis/token_stream_linter.cc; 0s local [374 / 387] 4 actions, 3 running Compiling verilog/analysis/checkers/suggest_parentheses_rule.cc; 1s local Compiling .../checkers/undersized_binary_literal_rule.cc; 1s local Compiling common/analysis/token_stream_linter.cc; 0s local [Scann] Compiling common/analysis/citation.cc [374 / 387] 4 actions running Compiling verilog/analysis/checkers/suggest_parentheses_rule.cc; 2s local Compiling .../checkers/undersized_binary_literal_rule.cc; 1s local Compiling common/analysis/token_stream_linter.cc; 1s local Compiling common/analysis/citation.cc; 0s local [376 / 387] 4 actions, 3 running Compiling common/analysis/token_stream_linter.cc; 1s local Compiling common/analysis/citation.cc; 0s local Compiling verilog/analysis/checkers/uvm_macro_semicolon_rule.cc; 0s local [Scann] Compiling common/analysis/command_file_lexer.cc [377 / 387] 4 actions running Compiling common/analysis/token_stream_linter.cc; 1s local Compiling verilog/analysis/checkers/uvm_macro_semicolon_rule.cc; 0s local Compiling common/analysis/command_file_lexer.cc; 0s local Compiling verilog/analysis/verilog_linter.cc; 0s local [378 / 387] 4 actions, 3 running Compiling verilog/analysis/checkers/uvm_macro_semicolon_rule.cc; 0s local Compiling common/analysis/command_file_lexer.cc; 0s local Compiling verilog/analysis/verilog_linter.cc; 0s local [Scann] Compiling common/analysis/lint_rule_status.cc [378 / 387] 4 actions running Compiling verilog/analysis/checkers/uvm_macro_semicolon_rule.cc; 1s local Compiling common/analysis/command_file_lexer.cc; 0s local Compiling verilog/analysis/verilog_linter.cc; 0s local Compiling common/analysis/lint_rule_status.cc; 0s local [378 / 387] 4 actions running Compiling verilog/analysis/checkers/uvm_macro_semicolon_rule.cc; 2s local Compiling common/analysis/command_file_lexer.cc; 2s local Compiling verilog/analysis/verilog_linter.cc; 1s local Compiling common/analysis/lint_rule_status.cc; 1s local [386 / 393] 4 actions running Compiling verilog/analysis/verilog_linter.cc; 2s local Compiling common/analysis/line_linter.cc; 0s local //verilog/tools/lint:verible-verilog-lint; 0s local .../tools/lint:explicit_function_parameter_type-syntax-test; 0s local [393 / 412] 4 actions running Compiling verilog/analysis/verilog_linter.cc; 2s local Compiling common/analysis/line_linter.cc; 0s local Creating runfiles tree bazel-out/k8-opt/bin/install.runfiles; 0s local Compiling common/tools/patch_tool.cc; 0s local [394 / 412] 4 actions running Compiling verilog/analysis/verilog_linter.cc; 2s local Compiling common/analysis/line_linter.cc; 0s local Compiling common/tools/patch_tool.cc; 0s local Compiling common/strings/patch.cc; 0s local [395 / 412] 4 actions, 3 running Compiling common/analysis/line_linter.cc; 1s local Compiling common/tools/patch_tool.cc; 1s local Compiling common/strings/patch.cc; 1s local [Scann] Compiling common/util/subcommand.cc [395 / 412] 4 actions running Compiling common/analysis/line_linter.cc; 1s local Compiling common/tools/patch_tool.cc; 1s local Compiling common/strings/patch.cc; 1s local Compiling common/util/subcommand.cc; 0s local [396 / 412] 4 actions, 3 running Compiling common/tools/patch_tool.cc; 1s local Compiling common/strings/patch.cc; 1s local Compiling common/util/subcommand.cc; 0s local [Prepa] Linking verilog/tools/lint/verible-verilog-lint [397 / 413] 4 actions, 3 running Compiling common/strings/patch.cc; 1s local Compiling common/util/subcommand.cc; 0s local Linking verilog/tools/lint/verible-verilog-lint; 0s local [Prepa] Writing file .../tools/project/verible-verilog-project-2.params [398 / 418] 4 actions running Compiling common/strings/patch.cc; 2s local Compiling common/util/subcommand.cc; 1s local Linking verilog/tools/lint/verible-verilog-lint; 0s local Compiling verilog/tools/project/project_tool.cc; 0s local [400 / 418] 3 actions, 2 running Linking verilog/tools/lint/verible-verilog-lint; 1s local Compiling verilog/tools/project/project_tool.cc; 1s local [Scann] Compiling verilog/analysis/dependencies.cc [400 / 418] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 1s local Compiling verilog/tools/project/project_tool.cc; 1s local Linking common/tools/verible-patch-tool; 0s local Compiling verilog/analysis/dependencies.cc; 0s local [400 / 418] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 2s local Compiling verilog/tools/project/project_tool.cc; 2s local Linking common/tools/verible-patch-tool; 1s local Compiling verilog/analysis/dependencies.cc; 1s local [401 / 418] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 3s local Linking common/tools/verible-patch-tool; 1s local Compiling verilog/analysis/dependencies.cc; 1s local [Scann] Compiling verilog/analysis/symbol_table.cc [401 / 418] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 3s local Linking common/tools/verible-patch-tool; 1s local Compiling verilog/analysis/dependencies.cc; 1s local Compiling verilog/analysis/symbol_table.cc; 0s local [402 / 418] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 3s local Linking common/tools/verible-patch-tool; 2s local Compiling verilog/analysis/symbol_table.cc; 0s local [Scann] Compiling verilog/CST/class.cc [402 / 418] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 4s local Linking common/tools/verible-patch-tool; 2s local Compiling verilog/analysis/symbol_table.cc; 1s local Compiling verilog/CST/class.cc; 0s local [403 / 418] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 5s local Linking common/tools/verible-patch-tool; 3s local Compiling verilog/analysis/symbol_table.cc; 2s local [Scann] Compiling verilog/analysis/verilog_project.cc [403 / 418] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 5s local Linking common/tools/verible-patch-tool; 3s local Compiling verilog/analysis/symbol_table.cc; 2s local Compiling verilog/analysis/verilog_project.cc; 0s local [403 / 418] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 6s local Linking common/tools/verible-patch-tool; 4s local Compiling verilog/analysis/symbol_table.cc; 3s local Compiling verilog/analysis/verilog_project.cc; 1s local [404 / 420] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 7s local Linking common/tools/verible-patch-tool; 5s local Compiling verilog/analysis/verilog_project.cc; 2s local [Prepa] .../tools/kythe:verilog_extractor_indexing_fact_type_foreach_gen [406 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 7s local Linking common/tools/verible-patch-tool; 6s local Compiling verilog/analysis/verilog_project.cc; 2s local [Scann] Compiling src/google/protobuf/compiler/main.cc [406 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 7s local Linking common/tools/verible-patch-tool; 6s local Compiling verilog/analysis/verilog_project.cc; 2s local Compiling src/google/protobuf/compiler/main.cc; 0s local [407 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 8s local Linking common/tools/verible-patch-tool; 6s local Compiling src/google/protobuf/compiler/main.cc; 0s local Linking verilog/tools/project/verible-verilog-project; 0s local [408 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 8s local Linking common/tools/verible-patch-tool; 7s local Linking verilog/tools/project/verible-verilog-project; 0s local [Scann] Compiling inflate.c [408 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 9s local Linking common/tools/verible-patch-tool; 7s local Linking verilog/tools/project/verible-verilog-project; 1s local Compiling inflate.c; 0s local [409 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 9s local Linking common/tools/verible-patch-tool; 8s local Linking verilog/tools/project/verible-verilog-project; 1s local [Scann] Compiling inftrees.c [410 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 9s local Linking common/tools/verible-patch-tool; 8s local Linking verilog/tools/project/verible-verilog-project; 1s local [Scann] Compiling trees.c [410 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 10s local Linking common/tools/verible-patch-tool; 8s local Linking verilog/tools/project/verible-verilog-project; 2s local Compiling trees.c; 0s local [411 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 10s local Linking common/tools/verible-patch-tool; 8s local Linking verilog/tools/project/verible-verilog-project; 2s local [Scann] Compiling uncompr.c [413 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 10s local Linking common/tools/verible-patch-tool; 9s local Linking verilog/tools/project/verible-verilog-project; 2s local [Scann] Compiling src/google/protobuf/compiler/command_line_interface.cc [413 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 10s local Linking common/tools/verible-patch-tool; 9s local Linking verilog/tools/project/verible-verilog-project; 2s local Compiling src/google/protobuf/compiler/command_line_interface.cc; 0s local [413 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 11s local Linking common/tools/verible-patch-tool; 10s local Linking verilog/tools/project/verible-verilog-project; 3s local Compiling src/google/protobuf/compiler/command_line_interface.cc; 1s local [413 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 12s local Linking common/tools/verible-patch-tool; 11s local Linking verilog/tools/project/verible-verilog-project; 4s local Compiling src/google/protobuf/compiler/command_line_interface.cc; 2s local INFO: From Linking common/tools/verible-patch-tool: lto-wrapper: warning: using serial compilation of 6 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [413 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 13s local Linking common/tools/verible-patch-tool; 12s local Linking verilog/tools/project/verible-verilog-project; 5s local Compiling src/google/protobuf/compiler/command_line_interface.cc; 2s local [414 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 13s local Linking verilog/tools/project/verible-verilog-project; 5s local Compiling src/google/protobuf/compiler/command_line_interface.cc; 3s local Compiling src/google/protobuf/compiler/cpp/cpp_enum.cc; 0s local [414 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 14s local Linking verilog/tools/project/verible-verilog-project; 6s local Compiling src/google/protobuf/compiler/command_line_interface.cc; 4s local Compiling src/google/protobuf/compiler/cpp/cpp_enum.cc; 1s local [414 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 15s local Linking verilog/tools/project/verible-verilog-project; 7s local Compiling src/google/protobuf/compiler/command_line_interface.cc; 5s local Compiling src/google/protobuf/compiler/cpp/cpp_enum.cc; 2s local [415 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 16s local Linking verilog/tools/project/verible-verilog-project; 8s local Compiling src/google/protobuf/compiler/cpp/cpp_enum.cc; 2s local Compiling src/google/protobuf/compiler/cpp/cpp_enum_field.cc; 0s local [415 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 17s local Linking verilog/tools/project/verible-verilog-project; 9s local Compiling src/google/protobuf/compiler/cpp/cpp_enum.cc; 3s local Compiling src/google/protobuf/compiler/cpp/cpp_enum_field.cc; 1s local [416 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 17s local Linking verilog/tools/project/verible-verilog-project; 9s local Compiling src/google/protobuf/compiler/cpp/cpp_enum_field.cc; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_extension.cc; 0s local [416 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 18s local Linking verilog/tools/project/verible-verilog-project; 10s local Compiling src/google/protobuf/compiler/cpp/cpp_enum_field.cc; 2s local Compiling src/google/protobuf/compiler/cpp/cpp_extension.cc; 1s local [417 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 19s local Linking verilog/tools/project/verible-verilog-project; 11s local Compiling src/google/protobuf/compiler/cpp/cpp_extension.cc; 1s local [Scann] Compiling inffast.c [418 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 19s local Linking verilog/tools/project/verible-verilog-project; 11s local Compiling src/google/protobuf/compiler/cpp/cpp_extension.cc; 2s local [Scann] Compiling src/google/protobuf/compiler/cpp/cpp_field.cc [418 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 19s local Linking verilog/tools/project/verible-verilog-project; 11s local Compiling src/google/protobuf/compiler/cpp/cpp_extension.cc; 2s local Compiling src/google/protobuf/compiler/cpp/cpp_field.cc; 0s local [419 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 20s local Linking verilog/tools/project/verible-verilog-project; 12s local Compiling src/google/protobuf/compiler/cpp/cpp_field.cc; 0s local Compiling src/google/protobuf/compiler/cpp/cpp_file.cc; 0s local [419 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 21s local Linking verilog/tools/project/verible-verilog-project; 13s local Compiling src/google/protobuf/compiler/cpp/cpp_field.cc; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_file.cc; 1s local [420 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 21s local Linking verilog/tools/project/verible-verilog-project; 13s local Compiling src/google/protobuf/compiler/cpp/cpp_file.cc; 2s local [Scann] Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc [420 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 22s local Linking verilog/tools/project/verible-verilog-project; 14s local Compiling src/google/protobuf/compiler/cpp/cpp_file.cc; 2s local Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc; 0s local [420 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 23s local Linking verilog/tools/project/verible-verilog-project; 15s local Compiling src/google/protobuf/compiler/cpp/cpp_file.cc; 3s local Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc; 1s local [420 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 24s local Linking verilog/tools/project/verible-verilog-project; 16s local Compiling src/google/protobuf/compiler/cpp/cpp_file.cc; 4s local Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc; 2s local [420 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 25s local Linking verilog/tools/project/verible-verilog-project; 17s local Compiling src/google/protobuf/compiler/cpp/cpp_file.cc; 5s local Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc; 3s local [420 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 26s local Linking verilog/tools/project/verible-verilog-project; 18s local Compiling src/google/protobuf/compiler/cpp/cpp_file.cc; 6s local Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc; 4s local [421 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 26s local Linking verilog/tools/project/verible-verilog-project; 18s local Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc; 4s local [Scann] Compiling gzlib.c [421 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 26s local Linking verilog/tools/project/verible-verilog-project; 18s local Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc; 5s local Compiling gzlib.c; 0s local [422 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 27s local Linking verilog/tools/project/verible-verilog-project; 19s local Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc; 5s local Compiling src/google/protobuf/compiler/cpp/cpp_map_field.cc; 0s local [422 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 27s local Linking verilog/tools/project/verible-verilog-project; 19s local Compiling src/google/protobuf/compiler/cpp/cpp_helpers.cc; 5s local Compiling src/google/protobuf/compiler/cpp/cpp_map_field.cc; 0s local [423 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 27s local Linking verilog/tools/project/verible-verilog-project; 19s local Compiling src/google/protobuf/compiler/cpp/cpp_map_field.cc; 0s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 0s local [423 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 28s local Linking verilog/tools/project/verible-verilog-project; 20s local Compiling src/google/protobuf/compiler/cpp/cpp_map_field.cc; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 1s local [424 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 29s local Linking verilog/tools/project/verible-verilog-project; 21s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 2s local [Scann] Compiling src/google/protobuf/compiler/cpp/cpp_message_field.cc [424 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 29s local Linking verilog/tools/project/verible-verilog-project; 21s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 2s local Compiling src/google/protobuf/compiler/cpp/cpp_message_field.cc; 0s local [424 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 30s local Linking verilog/tools/project/verible-verilog-project; 22s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 3s local Compiling src/google/protobuf/compiler/cpp/cpp_message_field.cc; 1s local [424 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 31s local Linking verilog/tools/project/verible-verilog-project; 23s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 4s local Compiling src/google/protobuf/compiler/cpp/cpp_message_field.cc; 2s local [424 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 32s local Linking verilog/tools/project/verible-verilog-project; 24s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 5s local Compiling src/google/protobuf/compiler/cpp/cpp_message_field.cc; 3s local [425 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 33s local Linking verilog/tools/project/verible-verilog-project; 25s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 5s local [Scann] @com_google_protobuf//:protoc_lib [425 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 33s local Linking verilog/tools/project/verible-verilog-project; 25s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 6s local @com_google_protobuf//:protoc_lib; 0s local [425 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 34s local Linking verilog/tools/project/verible-verilog-project; 26s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 7s local @com_google_protobuf//:protoc_lib; 1s local [425 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 35s local Linking verilog/tools/project/verible-verilog-project; 27s local Compiling src/google/protobuf/compiler/cpp/cpp_message.cc; 8s local @com_google_protobuf//:protoc_lib; 2s local [426 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 35s local Linking verilog/tools/project/verible-verilog-project; 27s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 0s local [427 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 36s local Linking verilog/tools/project/verible-verilog-project; 28s local @com_google_protobuf//:protoc_lib; 0s local [Scann] Compiling src/google/protobuf/compiler/cpp/cpp_service.cc [427 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 36s local Linking verilog/tools/project/verible-verilog-project; 28s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/compiler/cpp/cpp_service.cc; 0s local [427 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 37s local Linking verilog/tools/project/verible-verilog-project; 29s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_service.cc; 1s local [428 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 38s local Linking verilog/tools/project/verible-verilog-project; 30s local @com_google_protobuf//:protoc_lib; 2s local [Scann] @com_google_protobuf//:protoc_lib [429 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 38s local Linking verilog/tools/project/verible-verilog-project; 30s local @com_google_protobuf//:protoc_lib; 0s local Compiling adler32.c; 0s local [430 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 39s local Linking verilog/tools/project/verible-verilog-project; 31s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/compiler/csharp/csharp_enum.cc; 0s local [430 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 40s local Linking verilog/tools/project/verible-verilog-project; 32s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/csharp/csharp_enum.cc; 1s local [431 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 40s local Linking verilog/tools/project/verible-verilog-project; 32s local Compiling src/google/protobuf/compiler/csharp/csharp_enum.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local [431 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 40s local Linking verilog/tools/project/verible-verilog-project; 32s local Compiling src/google/protobuf/compiler/csharp/csharp_enum.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local [432 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 41s local Linking verilog/tools/project/verible-verilog-project; 33s local @com_google_protobuf//:protoc_lib; 0s local [Scann] Compiling src/google/protobuf/compiler/csharp/csharp_field_base.cc [432 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 41s local Linking verilog/tools/project/verible-verilog-project; 33s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local [433 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 42s local Linking verilog/tools/project/verible-verilog-project; 34s local @com_google_protobuf//:protoc_lib; 1s local [Scann] Compiling src/google/protobuf/stubs/statusor.cc [433 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 42s local Linking verilog/tools/project/verible-verilog-project; 34s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/stubs/statusor.cc; 0s local INFO: From Linking verilog/tools/project/verible-verilog-project: lto-wrapper: warning: using serial compilation of 18 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [433 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 43s local Linking verilog/tools/project/verible-verilog-project; 35s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/stubs/statusor.cc; 0s local [434 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 43s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/stubs/statusor.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [435 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 43s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/compiler/csharp/csharp_helpers.cc; 0s local [435 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 43s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/compiler/csharp/csharp_helpers.cc; 0s local [436 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 44s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/csharp/csharp_helpers.cc; 1s local [Scann] Compiling src/google/protobuf/compiler/csharp/csharp_message.cc [436 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 44s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/csharp/csharp_helpers.cc; 1s local Compiling src/google/protobuf/compiler/csharp/csharp_message.cc; 0s local [437 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 45s local Compiling src/google/protobuf/compiler/csharp/csharp_helpers.cc; 1s local Compiling src/google/protobuf/compiler/csharp/csharp_message.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/bytestream.cc [437 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 45s local Compiling src/google/protobuf/compiler/csharp/csharp_helpers.cc; 1s local Compiling src/google/protobuf/compiler/csharp/csharp_message.cc; 0s local Compiling src/google/protobuf/stubs/bytestream.cc; 0s local [438 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 45s local Compiling src/google/protobuf/compiler/csharp/csharp_message.cc; 1s local Compiling src/google/protobuf/stubs/bytestream.cc; 0s local [Scann] @com_google_protobuf//:protoc_lib [438 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 45s local Compiling src/google/protobuf/compiler/csharp/csharp_message.cc; 1s local Compiling src/google/protobuf/stubs/bytestream.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [439 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 46s local Compiling src/google/protobuf/compiler/csharp/csharp_message.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local [Scann] @com_google_protobuf//:protoc_lib [439 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 46s local Compiling src/google/protobuf/compiler/csharp/csharp_message.cc; 2s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local [440 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 47s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local [Scann] @com_google_protobuf//:protoc_lib [440 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 47s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local [441 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 48s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local [Scann] @com_google_protobuf//:protoc_lib [441 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 48s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [442 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 48s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local [Scann] @com_google_protobuf//:protoc_lib [442 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 49s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [443 / 600] 4 actions, 3 running Linking verilog/tools/lint/verible-verilog-lint; 50s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local [Scann] @com_google_protobuf//:protoc_lib [443 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 50s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local [444 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 50s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/compiler/java/java_context.cc; 0s local [445 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 50s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/compiler/java/java_context.cc; 0s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 0s local INFO: From Linking verilog/tools/lint/verible-verilog-lint: lto-wrapper: warning: using serial compilation of 27 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [445 / 600] 4 actions running Linking verilog/tools/lint/verible-verilog-lint; 51s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/java/java_context.cc; 0s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 0s local [448 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/java/java_context.cc; 0s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 0s local [Scann] Compiling src/google/protobuf/io/zero_copy_stream_impl.cc [448 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/java/java_context.cc; 1s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 0s local Compiling src/google/protobuf/io/zero_copy_stream_impl.cc; 0s local [449 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_context.cc; 1s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 1s local Compiling src/google/protobuf/io/zero_copy_stream_impl.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/java/java_enum.cc [451 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_context.cc; 2s local Compiling src/google/protobuf/compiler/java/java_enum.cc; 0s local Compiling src/google/protobuf/compiler/java/java_enum_field.cc; 0s local [Scann] @com_google_protobuf//:protobuf [451 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_context.cc; 2s local Compiling src/google/protobuf/compiler/java/java_enum.cc; 0s local Compiling src/google/protobuf/compiler/java/java_enum_field.cc; 0s local @com_google_protobuf//:protobuf; 0s local [452 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_enum.cc; 0s local Compiling src/google/protobuf/compiler/java/java_enum_field.cc; 0s local @com_google_protobuf//:protobuf; 0s local @com_google_protobuf//:protoc_lib; 0s local [452 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_enum.cc; 1s local Compiling src/google/protobuf/compiler/java/java_enum_field.cc; 1s local @com_google_protobuf//:protobuf; 1s local @com_google_protobuf//:protoc_lib; 1s local [453 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_enum_field.cc; 2s local @com_google_protobuf//:protobuf; 2s local @com_google_protobuf//:protoc_lib; 1s local [Scann] Compiling src/google/protobuf/compiler/java/java_enum_lite.cc [454 / 600] 4 actions running @com_google_protobuf//:protobuf; 2s local @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/compiler/java/java_enum_lite.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [455 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/compiler/java/java_enum_lite.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/any_lite.cc; 0s local [456 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_enum_lite.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/any_lite.cc; 0s local Compiling src/google/protobuf/compiler/java/java_field.cc; 0s local [456 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_enum_lite.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/any_lite.cc; 1s local Compiling src/google/protobuf/compiler/java/java_field.cc; 1s local [458 / 600] 3 actions, 2 running Compiling src/google/protobuf/compiler/java/java_enum_lite.cc; 2s local Compiling src/google/protobuf/compiler/java/java_field.cc; 1s local [Scann] Compiling src/google/protobuf/compiler/java/java_file.cc [459 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_field.cc; 1s local Compiling src/google/protobuf/compiler/java/java_file.cc; 0s local Compiling src/google/protobuf/timestamp.pb.cc; 0s local Compiling src/google/protobuf/util/internal/type_info.cc; 0s local [460 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_file.cc; 0s local Compiling src/google/protobuf/timestamp.pb.cc; 0s local Compiling src/google/protobuf/util/internal/type_info.cc; 0s local [Scann] Compiling src/google/protobuf/extension_set.cc [460 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_file.cc; 1s local Compiling src/google/protobuf/timestamp.pb.cc; 1s local Compiling src/google/protobuf/util/internal/type_info.cc; 0s local Compiling src/google/protobuf/extension_set.cc; 0s local [461 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_file.cc; 1s local Compiling src/google/protobuf/util/internal/type_info.cc; 1s local Compiling src/google/protobuf/extension_set.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/java/java_message.cc [461 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_file.cc; 1s local Compiling src/google/protobuf/util/internal/type_info.cc; 1s local Compiling src/google/protobuf/extension_set.cc; 1s local Compiling src/google/protobuf/compiler/java/java_message.cc; 0s local [462 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_file.cc; 2s local Compiling src/google/protobuf/extension_set.cc; 1s local Compiling src/google/protobuf/compiler/java/java_message.cc; 0s local [Scann] Compiling src/google/protobuf/util/internal/utility.cc [462 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_file.cc; 2s local Compiling src/google/protobuf/extension_set.cc; 1s local Compiling src/google/protobuf/compiler/java/java_message.cc; 1s local Compiling src/google/protobuf/util/internal/utility.cc; 0s local [462 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_file.cc; 3s local Compiling src/google/protobuf/extension_set.cc; 2s local Compiling src/google/protobuf/compiler/java/java_message.cc; 2s local Compiling src/google/protobuf/util/internal/utility.cc; 1s local [463 / 600] 4 actions running Compiling src/google/protobuf/extension_set.cc; 3s local Compiling src/google/protobuf/compiler/java/java_message.cc; 2s local Compiling src/google/protobuf/util/internal/utility.cc; 1s local Compiling src/google/protobuf/util/message_differencer.cc; 0s local [463 / 600] 4 actions running Compiling src/google/protobuf/extension_set.cc; 3s local Compiling src/google/protobuf/compiler/java/java_message.cc; 2s local Compiling src/google/protobuf/util/internal/utility.cc; 1s local Compiling src/google/protobuf/util/message_differencer.cc; 0s local [464 / 600] 4 actions, 3 running Compiling src/google/protobuf/extension_set.cc; 4s local Compiling src/google/protobuf/compiler/java/java_message.cc; 3s local Compiling src/google/protobuf/util/message_differencer.cc; 1s local [Scann] Compiling src/google/protobuf/compiler/java/java_map_field.cc [465 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_message.cc; 3s local Compiling src/google/protobuf/util/message_differencer.cc; 1s local Compiling src/google/protobuf/compiler/java/java_map_field.cc; 0s local [Prepa] @com_google_protobuf//:protobuf [465 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_message.cc; 4s local Compiling src/google/protobuf/util/message_differencer.cc; 1s local Compiling src/google/protobuf/compiler/java/java_map_field.cc; 0s local @com_google_protobuf//:protobuf; 0s local [466 / 600] 4 actions running Compiling src/google/protobuf/util/message_differencer.cc; 2s local Compiling src/google/protobuf/compiler/java/java_map_field.cc; 0s local @com_google_protobuf//:protobuf; 0s local Compiling src/google/protobuf/util/internal/object_writer.cc; 0s local [466 / 600] 4 actions running Compiling src/google/protobuf/util/message_differencer.cc; 2s local Compiling src/google/protobuf/compiler/java/java_map_field.cc; 0s local @com_google_protobuf//:protobuf; 0s local Compiling src/google/protobuf/util/internal/object_writer.cc; 0s local [466 / 600] 4 actions running Compiling src/google/protobuf/util/message_differencer.cc; 3s local Compiling src/google/protobuf/compiler/java/java_map_field.cc; 1s local @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/util/internal/object_writer.cc; 1s local [468 / 600] 3 actions, 2 running Compiling src/google/protobuf/util/message_differencer.cc; 3s local @com_google_protobuf//:protobuf; 2s local [Scann] Compiling src/google/protobuf/util/internal/proto_writer.cc [468 / 600] 4 actions running Compiling src/google/protobuf/util/message_differencer.cc; 4s local @com_google_protobuf//:protobuf; 2s local Compiling src/google/protobuf/util/internal/proto_writer.cc; 0s local @com_google_protobuf//:protobuf; 0s local [469 / 600] 4 actions, 3 running Compiling src/google/protobuf/util/message_differencer.cc; 4s local Compiling src/google/protobuf/util/internal/proto_writer.cc; 0s local @com_google_protobuf//:protobuf; 0s local [Scann] @com_google_protobuf//:protoc_lib [469 / 600] 4 actions running Compiling src/google/protobuf/util/message_differencer.cc; 4s local Compiling src/google/protobuf/util/internal/proto_writer.cc; 1s local @com_google_protobuf//:protobuf; 1s local @com_google_protobuf//:protoc_lib; 0s local [470 / 600] 4 actions, 3 running Compiling src/google/protobuf/util/internal/proto_writer.cc; 1s local @com_google_protobuf//:protobuf; 1s local @com_google_protobuf//:protoc_lib; 0s local [Scann] Compiling src/google/protobuf/util/internal/field_mask_utility.cc [470 / 600] 4 actions running Compiling src/google/protobuf/util/internal/proto_writer.cc; 1s local @com_google_protobuf//:protobuf; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protobuf; 0s local [471 / 600] 4 actions, 3 running Compiling src/google/protobuf/util/internal/proto_writer.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protobuf; 0s local [Scann] Compiling src/google/protobuf/util/internal/json_escaping.cc [471 / 600] 4 actions running Compiling src/google/protobuf/util/internal/proto_writer.cc; 2s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protobuf; 0s local Compiling src/google/protobuf/util/internal/json_escaping.cc; 0s local [472 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/util/internal/json_escaping.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/java/java_message_lite.cc [473 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/compiler/java/java_message_lite.cc; 0s local [Scann] Compiling src/google/protobuf/unknown_field_set.cc [474 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/compiler/java/java_message_lite.cc; 0s local Compiling src/google/protobuf/unknown_field_set.cc; 0s local Compiling src/google/protobuf/util/field_mask_util.cc; 0s local [475 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_message_lite.cc; 0s local Compiling src/google/protobuf/unknown_field_set.cc; 0s local Compiling src/google/protobuf/util/field_mask_util.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/js/js_generator.cc [475 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_message_lite.cc; 1s local Compiling src/google/protobuf/unknown_field_set.cc; 0s local Compiling src/google/protobuf/util/field_mask_util.cc; 0s local Compiling src/google/protobuf/compiler/js/js_generator.cc; 0s local [476 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_message_lite.cc; 1s local Compiling src/google/protobuf/util/field_mask_util.cc; 1s local Compiling src/google/protobuf/compiler/js/js_generator.cc; 0s local [Scann] Compiling src/google/protobuf/util/field_comparator.cc [476 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_message_lite.cc; 2s local Compiling src/google/protobuf/util/field_mask_util.cc; 1s local Compiling src/google/protobuf/compiler/js/js_generator.cc; 1s local Compiling src/google/protobuf/util/field_comparator.cc; 0s local [477 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_message_lite.cc; 2s local Compiling src/google/protobuf/compiler/js/js_generator.cc; 1s local Compiling src/google/protobuf/util/field_comparator.cc; 0s local [Scann] @com_google_protobuf//:protoc_lib [477 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_message_lite.cc; 3s local Compiling src/google/protobuf/compiler/js/js_generator.cc; 2s local Compiling src/google/protobuf/util/field_comparator.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local [478 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/js/js_generator.cc; 2s local Compiling src/google/protobuf/util/field_comparator.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local [Scann] Compiling src/google/protobuf/util/internal/datapiece.cc [479 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/js/js_generator.cc; 2s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/util/internal/datapiece.cc; 0s local [Scann] @com_google_protobuf//:protoc_lib [479 / 600] 4 actions running Compiling src/google/protobuf/compiler/js/js_generator.cc; 2s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/util/internal/datapiece.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [479 / 600] 4 actions running Compiling src/google/protobuf/compiler/js/js_generator.cc; 3s local @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/util/internal/datapiece.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local [480 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/js/js_generator.cc; 4s local Compiling src/google/protobuf/util/internal/datapiece.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local [Scann] Compiling src/google/protobuf/compiler/php/php_generator.cc [480 / 600] 4 actions running Compiling src/google/protobuf/compiler/js/js_generator.cc; 4s local Compiling src/google/protobuf/util/internal/datapiece.cc; 2s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/php/php_generator.cc; 0s local [481 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/js/js_generator.cc; 5s local Compiling src/google/protobuf/util/internal/datapiece.cc; 2s local Compiling src/google/protobuf/compiler/php/php_generator.cc; 0s local [Scann] Compiling src/google/protobuf/io/tokenizer.cc [482 / 600] 4 actions running Compiling src/google/protobuf/compiler/js/js_generator.cc; 5s local Compiling src/google/protobuf/compiler/php/php_generator.cc; 1s local Compiling src/google/protobuf/io/tokenizer.cc; 0s local Compiling src/google/protobuf/struct.pb.cc; 0s local [482 / 600] 4 actions running Compiling src/google/protobuf/compiler/js/js_generator.cc; 6s local Compiling src/google/protobuf/compiler/php/php_generator.cc; 2s local Compiling src/google/protobuf/io/tokenizer.cc; 1s local Compiling src/google/protobuf/struct.pb.cc; 1s local [483 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/js/js_generator.cc; 6s local Compiling src/google/protobuf/compiler/php/php_generator.cc; 2s local Compiling src/google/protobuf/struct.pb.cc; 1s local [Scann] @com_google_protobuf//:protoc_lib [483 / 600] 4 actions running Compiling src/google/protobuf/compiler/js/js_generator.cc; 7s local Compiling src/google/protobuf/compiler/php/php_generator.cc; 2s local Compiling src/google/protobuf/struct.pb.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local [483 / 600] 4 actions running Compiling src/google/protobuf/compiler/js/js_generator.cc; 8s local Compiling src/google/protobuf/compiler/php/php_generator.cc; 3s local Compiling src/google/protobuf/struct.pb.cc; 2s local @com_google_protobuf//:protoc_lib; 1s local [484 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/js/js_generator.cc; 8s local Compiling src/google/protobuf/struct.pb.cc; 3s local @com_google_protobuf//:protoc_lib; 1s local [Scann] Compiling src/google/protobuf/source_context.pb.cc [486 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/source_context.pb.cc; 0s local Compiling src/google/protobuf/map_field.cc; 0s local [Sched] Compiling src/google/protobuf/message.cc [486 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/source_context.pb.cc; 0s local Compiling src/google/protobuf/map_field.cc; 0s local Compiling src/google/protobuf/message.cc; 0s local [487 / 600] 4 actions, 3 running Compiling src/google/protobuf/source_context.pb.cc; 0s local Compiling src/google/protobuf/map_field.cc; 0s local Compiling src/google/protobuf/message.cc; 0s local [Scann] @com_google_protobuf//:protoc_lib [487 / 600] 4 actions running Compiling src/google/protobuf/source_context.pb.cc; 1s local Compiling src/google/protobuf/map_field.cc; 0s local Compiling src/google/protobuf/message.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [488 / 600] 4 actions, 3 running Compiling src/google/protobuf/map_field.cc; 1s local Compiling src/google/protobuf/message.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local [Scann] Compiling src/google/protobuf/dynamic_message.cc [488 / 600] 4 actions running Compiling src/google/protobuf/map_field.cc; 2s local Compiling src/google/protobuf/message.cc; 2s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/dynamic_message.cc; 0s local [489 / 600] 4 actions, 3 running Compiling src/google/protobuf/map_field.cc; 2s local Compiling src/google/protobuf/message.cc; 2s local Compiling src/google/protobuf/dynamic_message.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/plugin.cc [490 / 600] 4 actions, 3 running Compiling src/google/protobuf/map_field.cc; 2s local Compiling src/google/protobuf/dynamic_message.cc; 1s local Compiling src/google/protobuf/compiler/plugin.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/plugin.pb.cc [491 / 600] 4 actions running Compiling src/google/protobuf/dynamic_message.cc; 1s local Compiling src/google/protobuf/compiler/plugin.cc; 0s local Compiling src/google/protobuf/compiler/plugin.pb.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [491 / 600] 4 actions running Compiling src/google/protobuf/dynamic_message.cc; 1s local Compiling src/google/protobuf/compiler/plugin.cc; 0s local Compiling src/google/protobuf/compiler/plugin.pb.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [491 / 600] 4 actions running Compiling src/google/protobuf/dynamic_message.cc; 2s local Compiling src/google/protobuf/compiler/plugin.cc; 1s local Compiling src/google/protobuf/compiler/plugin.pb.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local [492 / 600] 4 actions, 3 running Compiling src/google/protobuf/dynamic_message.cc; 2s local Compiling src/google/protobuf/compiler/plugin.pb.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local [Scann] Compiling src/google/protobuf/compiler/ruby/ruby_generator.cc [493 / 600] 4 actions running Compiling src/google/protobuf/compiler/plugin.pb.cc; 2s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/ruby/ruby_generator.cc; 0s local Compiling src/google/protobuf/extension_set_heavy.cc; 0s local [493 / 600] 4 actions running Compiling src/google/protobuf/compiler/plugin.pb.cc; 3s local @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/compiler/ruby/ruby_generator.cc; 1s local Compiling src/google/protobuf/extension_set_heavy.cc; 1s local [494 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 3s local Compiling src/google/protobuf/compiler/ruby/ruby_generator.cc; 1s local Compiling src/google/protobuf/extension_set_heavy.cc; 1s local Compiling src/google/protobuf/compiler/zip_writer.cc; 0s local [494 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 3s local Compiling src/google/protobuf/compiler/ruby/ruby_generator.cc; 1s local Compiling src/google/protobuf/extension_set_heavy.cc; 1s local Compiling src/google/protobuf/compiler/zip_writer.cc; 0s local [495 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/ruby/ruby_generator.cc; 2s local Compiling src/google/protobuf/extension_set_heavy.cc; 2s local Compiling src/google/protobuf/compiler/zip_writer.cc; 1s local [Scann] Compiling src/google/protobuf/reflection_ops.cc [497 / 600] 4 actions, 3 running Compiling src/google/protobuf/extension_set_heavy.cc; 2s local Compiling src/google/protobuf/reflection_ops.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [Scann] Compiling src/google/protobuf/api.pb.cc [498 / 600] 4 actions running Compiling src/google/protobuf/reflection_ops.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/api.pb.cc; 0s local Compiling src/google/protobuf/compiler/importer.cc; 0s local [498 / 600] 4 actions running Compiling src/google/protobuf/reflection_ops.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/api.pb.cc; 1s local Compiling src/google/protobuf/compiler/importer.cc; 1s local [498 / 600] 4 actions running Compiling src/google/protobuf/reflection_ops.cc; 2s local @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/api.pb.cc; 2s local Compiling src/google/protobuf/compiler/importer.cc; 2s local [499 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/api.pb.cc; 2s local Compiling src/google/protobuf/compiler/importer.cc; 2s local [Scann] Compiling src/google/protobuf/descriptor.cc [500 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 3s local Compiling src/google/protobuf/api.pb.cc; 2s local Compiling src/google/protobuf/descriptor.cc; 0s local Compiling src/google/protobuf/descriptor_database.cc; 0s local [501 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 3s local Compiling src/google/protobuf/descriptor.cc; 0s local Compiling src/google/protobuf/descriptor_database.cc; 0s local Compiling src/google/protobuf/compiler/parser.cc; 0s local [502 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 1s local Compiling src/google/protobuf/descriptor_database.cc; 1s local Compiling src/google/protobuf/compiler/parser.cc; 0s local [Scann] Compiling src/google/protobuf/duration.pb.cc [502 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 1s local Compiling src/google/protobuf/descriptor_database.cc; 1s local Compiling src/google/protobuf/compiler/parser.cc; 1s local Compiling src/google/protobuf/duration.pb.cc; 0s local [502 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 2s local Compiling src/google/protobuf/descriptor_database.cc; 2s local Compiling src/google/protobuf/compiler/parser.cc; 2s local Compiling src/google/protobuf/duration.pb.cc; 1s local [503 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 2s local Compiling src/google/protobuf/descriptor_database.cc; 2s local Compiling src/google/protobuf/compiler/parser.cc; 2s local [Scann] Compiling src/google/protobuf/io/printer.cc [503 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 3s local Compiling src/google/protobuf/descriptor_database.cc; 3s local Compiling src/google/protobuf/compiler/parser.cc; 2s local Compiling src/google/protobuf/io/printer.cc; 0s local [503 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 4s local Compiling src/google/protobuf/descriptor_database.cc; 4s local Compiling src/google/protobuf/compiler/parser.cc; 3s local Compiling src/google/protobuf/io/printer.cc; 1s local [504 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 4s local Compiling src/google/protobuf/descriptor_database.cc; 4s local Compiling src/google/protobuf/compiler/parser.cc; 4s local [Scann] Compiling src/google/protobuf/any.pb.cc [504 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 4s local Compiling src/google/protobuf/descriptor_database.cc; 4s local Compiling src/google/protobuf/compiler/parser.cc; 4s local Compiling src/google/protobuf/any.pb.cc; 0s local [505 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 5s local Compiling src/google/protobuf/descriptor_database.cc; 5s local Compiling src/google/protobuf/any.pb.cc; 0s local [Scann] Compiling src/google/protobuf/descriptor.pb.cc [506 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 5s local Compiling src/google/protobuf/any.pb.cc; 0s local Compiling src/google/protobuf/descriptor.pb.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/substitute.cc [506 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 5s local Compiling src/google/protobuf/any.pb.cc; 1s local Compiling src/google/protobuf/descriptor.pb.cc; 0s local Compiling src/google/protobuf/stubs/substitute.cc; 0s local [507 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 6s local Compiling src/google/protobuf/descriptor.pb.cc; 1s local Compiling src/google/protobuf/stubs/substitute.cc; 0s local [Scann] Compiling src/google/protobuf/empty.pb.cc [508 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 6s local Compiling src/google/protobuf/descriptor.pb.cc; 1s local Compiling src/google/protobuf/empty.pb.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/subprocess.cc [508 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 6s local Compiling src/google/protobuf/descriptor.pb.cc; 1s local Compiling src/google/protobuf/empty.pb.cc; 0s local Compiling src/google/protobuf/compiler/subprocess.cc; 0s local [508 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 7s local Compiling src/google/protobuf/descriptor.pb.cc; 2s local Compiling src/google/protobuf/empty.pb.cc; 1s local Compiling src/google/protobuf/compiler/subprocess.cc; 1s local [509 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 8s local Compiling src/google/protobuf/descriptor.pb.cc; 2s local Compiling src/google/protobuf/empty.pb.cc; 1s local [Scann] Compiling src/google/protobuf/field_mask.pb.cc [510 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 8s local Compiling src/google/protobuf/descriptor.pb.cc; 3s local Compiling src/google/protobuf/field_mask.pb.cc; 0s local Compiling src/google/protobuf/any.cc; 0s local [510 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 9s local Compiling src/google/protobuf/descriptor.pb.cc; 4s local Compiling src/google/protobuf/field_mask.pb.cc; 1s local Compiling src/google/protobuf/any.cc; 1s local [511 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 10s local Compiling src/google/protobuf/descriptor.pb.cc; 4s local Compiling src/google/protobuf/any.cc; 1s local [Scann] Compiling src/google/protobuf/generated_message_table_driven.cc [512 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 10s local Compiling src/google/protobuf/descriptor.pb.cc; 5s local Compiling src/google/protobuf/generated_message_table_driven.cc; 0s local Compiling src/google/protobuf/generated_message_reflection.cc; 0s local [512 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 11s local Compiling src/google/protobuf/descriptor.pb.cc; 6s local Compiling src/google/protobuf/generated_message_table_driven.cc; 1s local Compiling src/google/protobuf/generated_message_reflection.cc; 1s local [512 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 12s local Compiling src/google/protobuf/descriptor.pb.cc; 7s local Compiling src/google/protobuf/generated_message_table_driven.cc; 2s local Compiling src/google/protobuf/generated_message_reflection.cc; 2s local [512 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 13s local Compiling src/google/protobuf/descriptor.pb.cc; 8s local Compiling src/google/protobuf/generated_message_table_driven.cc; 3s local Compiling src/google/protobuf/generated_message_reflection.cc; 3s local [513 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 13s local Compiling src/google/protobuf/descriptor.pb.cc; 8s local Compiling src/google/protobuf/generated_message_reflection.cc; 3s local [Scann] Compiling src/google/protobuf/io/gzip_stream.cc [513 / 600] 4 actions running Compiling src/google/protobuf/descriptor.cc; 14s local Compiling src/google/protobuf/descriptor.pb.cc; 8s local Compiling src/google/protobuf/generated_message_reflection.cc; 3s local Compiling src/google/protobuf/io/gzip_stream.cc; 0s local [514 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.pb.cc; 9s local Compiling src/google/protobuf/generated_message_reflection.cc; 4s local Compiling src/google/protobuf/io/gzip_stream.cc; 0s local [Scann] @com_google_protobuf//:protoc_lib [514 / 600] 4 actions running Compiling src/google/protobuf/descriptor.pb.cc; 9s local Compiling src/google/protobuf/generated_message_reflection.cc; 4s local Compiling src/google/protobuf/io/gzip_stream.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local [515 / 600] 4 actions running Compiling src/google/protobuf/descriptor.pb.cc; 9s local Compiling src/google/protobuf/generated_message_reflection.cc; 5s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [515 / 600] 4 actions running Compiling src/google/protobuf/descriptor.pb.cc; 10s local Compiling src/google/protobuf/generated_message_reflection.cc; 5s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [516 / 600] 4 actions, 3 running Compiling src/google/protobuf/descriptor.pb.cc; 10s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [Scann] @com_google_protobuf//:protoc_lib [517 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [Scann] @com_google_protobuf//:protoc_lib [517 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [518 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local [Scann] @com_google_protobuf//:protoc_lib [519 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/service.cc; 0s local [520 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/service.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [520 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/service.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [521 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/service.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [522 / 600] 4 actions, 3 running Compiling src/google/protobuf/service.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [Scann] @com_google_protobuf//:protoc_lib [523 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [523 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local [523 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 3s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 2s local [525 / 600] 3 actions, 2 running @com_google_protobuf//:protoc_lib; 3s local @com_google_protobuf//:protoc_lib; 2s local [Scann] Compiling src/google/protobuf/compiler/java/java_service.cc [526 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 3s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/compiler/java/java_service.cc; 0s local [Scann] @com_google_protobuf//:protoc_lib [526 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 3s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/compiler/java/java_service.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [527 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/compiler/java/java_service.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [Scann] Compiling src/google/protobuf/compiler/java/java_string_field.cc [527 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/java/java_service.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/java/java_string_field.cc; 0s local [527 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/compiler/java/java_service.cc; 2s local @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/compiler/java/java_string_field.cc; 1s local [529 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/compiler/java/java_string_field.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [530 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_string_field.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/util/delimited_message_util.cc; 0s local [531 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/util/delimited_message_util.cc; 0s local [Scann] @com_google_protobuf//:protoc_lib [532 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local [Scann] Compiling src/google/protobuf/type.pb.cc [532 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/type.pb.cc; 0s local [533 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/type.pb.cc; 1s local [Scann] Compiling src/google/protobuf/compiler/java/java_name_resolver.cc [534 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/type.pb.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/util/internal/error_listener.cc; 0s local [535 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 2s local Compiling src/google/protobuf/type.pb.cc; 2s local @com_google_protobuf//:protoc_lib; 1s local [Scann] @com_google_protobuf//:protoc_lib [536 / 600] 4 actions running Compiling src/google/protobuf/type.pb.cc; 2s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [537 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protoc_lib; 0s local [Scann] Compiling src/google/protobuf/util/internal/json_objectwriter.cc [537 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 0s local [538 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 0s local [Scann] @com_google_protobuf//:protobuf [538 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 0s local @com_google_protobuf//:protobuf; 0s local [539 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 1s local @com_google_protobuf//:protobuf; 0s local @com_google_protobuf//:protoc_lib; 0s local [540 / 600] 4 actions, 3 running Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 1s local @com_google_protobuf//:protobuf; 1s local @com_google_protobuf//:protoc_lib; 0s local [Scann] Compiling src/google/protobuf/compiler/java/java_helpers.cc [540 / 600] 4 actions running Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 2s local @com_google_protobuf//:protobuf; 1s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/java/java_helpers.cc; 0s local [541 / 600] 4 actions running @com_google_protobuf//:protobuf; 1s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/java/java_helpers.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [542 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/java/java_helpers.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [Scann] @com_google_protobuf//:protobuf [542 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/compiler/java/java_helpers.cc; 1s local @com_google_protobuf//:protoc_lib; 0s local @com_google_protobuf//:protobuf; 0s local [543 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_helpers.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protobuf; 0s local [Scann] Compiling src/google/protobuf/util/json_util.cc [543 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_helpers.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/util/json_util.cc; 0s local [543 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_helpers.cc; 2s local @com_google_protobuf//:protoc_lib; 2s local @com_google_protobuf//:protobuf; 2s local Compiling src/google/protobuf/util/json_util.cc; 1s local [545 / 600] 4 actions, 3 running @com_google_protobuf//:protobuf; 2s local Compiling src/google/protobuf/util/json_util.cc; 1s local Compiling src/google/protobuf/util/time_util.cc; 0s local [Scann] Compiling src/google/protobuf/wrappers.pb.cc [545 / 600] 4 actions running @com_google_protobuf//:protobuf; 2s local Compiling src/google/protobuf/util/json_util.cc; 1s local Compiling src/google/protobuf/util/time_util.cc; 0s local Compiling src/google/protobuf/wrappers.pb.cc; 0s local [546 / 600] 4 actions, 3 running @com_google_protobuf//:protobuf; 2s local Compiling src/google/protobuf/util/time_util.cc; 0s local Compiling src/google/protobuf/wrappers.pb.cc; 0s local [Scann] Compiling src/google/protobuf/util/type_resolver_util.cc [547 / 600] 4 actions running Compiling src/google/protobuf/util/time_util.cc; 1s local Compiling src/google/protobuf/wrappers.pb.cc; 0s local Compiling src/google/protobuf/util/type_resolver_util.cc; 0s local Compiling src/google/protobuf/compiler/java/java_extension.cc; 0s local [548 / 600] 4 actions, 3 running Compiling src/google/protobuf/wrappers.pb.cc; 1s local Compiling src/google/protobuf/util/type_resolver_util.cc; 0s local Compiling src/google/protobuf/compiler/java/java_extension.cc; 0s local [Scann] Compiling src/google/protobuf/repeated_field.cc [548 / 600] 4 actions running Compiling src/google/protobuf/wrappers.pb.cc; 1s local Compiling src/google/protobuf/util/type_resolver_util.cc; 1s local Compiling src/google/protobuf/compiler/java/java_extension.cc; 1s local Compiling src/google/protobuf/repeated_field.cc; 0s local [549 / 600] 4 actions, 3 running Compiling src/google/protobuf/util/type_resolver_util.cc; 2s local Compiling src/google/protobuf/compiler/java/java_extension.cc; 2s local Compiling src/google/protobuf/repeated_field.cc; 1s local [Scann] Compiling src/google/protobuf/wire_format.cc [550 / 600] 4 actions running Compiling src/google/protobuf/compiler/java/java_extension.cc; 2s local Compiling src/google/protobuf/repeated_field.cc; 1s local Compiling src/google/protobuf/wire_format.cc; 0s local Compiling src/google/protobuf/arena.cc; 0s local [551 / 600] 4 actions, 3 running Compiling src/google/protobuf/repeated_field.cc; 1s local Compiling src/google/protobuf/wire_format.cc; 0s local Compiling src/google/protobuf/arena.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/java/java_doc_comment.cc [551 / 600] 4 actions running Compiling src/google/protobuf/repeated_field.cc; 2s local Compiling src/google/protobuf/wire_format.cc; 0s local Compiling src/google/protobuf/arena.cc; 0s local Compiling src/google/protobuf/compiler/java/java_doc_comment.cc; 0s local [552 / 600] 4 actions, 3 running Compiling src/google/protobuf/repeated_field.cc; 2s local Compiling src/google/protobuf/wire_format.cc; 1s local Compiling src/google/protobuf/compiler/java/java_doc_comment.cc; 0s local [Scann] @com_google_protobuf//:protobuf_lite [552 / 600] 4 actions running Compiling src/google/protobuf/repeated_field.cc; 2s local Compiling src/google/protobuf/wire_format.cc; 1s local Compiling src/google/protobuf/compiler/java/java_doc_comment.cc; 1s local @com_google_protobuf//:protobuf_lite; 0s local [553 / 600] 4 actions, 3 running Compiling src/google/protobuf/repeated_field.cc; 3s local Compiling src/google/protobuf/wire_format.cc; 2s local @com_google_protobuf//:protobuf_lite; 0s local [Scann] Compiling src/google/protobuf/generated_enum_util.cc [554 / 600] 4 actions running Compiling src/google/protobuf/wire_format.cc; 2s local @com_google_protobuf//:protobuf_lite; 1s local Compiling src/google/protobuf/generated_enum_util.cc; 0s local Compiling src/google/protobuf/io/zero_copy_stream.cc; 0s local [555 / 600] 4 actions, 3 running Compiling src/google/protobuf/wire_format.cc; 3s local @com_google_protobuf//:protobuf_lite; 1s local Compiling src/google/protobuf/generated_enum_util.cc; 1s local [Scann] Compiling src/google/protobuf/stubs/int128.cc [556 / 600] 4 actions running Compiling src/google/protobuf/wire_format.cc; 3s local @com_google_protobuf//:protobuf_lite; 2s local Compiling src/google/protobuf/stubs/int128.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local [556 / 600] 4 actions running Compiling src/google/protobuf/wire_format.cc; 4s local @com_google_protobuf//:protobuf_lite; 3s local Compiling src/google/protobuf/stubs/int128.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local [558 / 600] 4 actions, 3 running @com_google_protobuf//:protobuf_lite; 3s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/wire_format_lite.cc; 0s local [Scann] Compiling src/google/protobuf/io/io_win32.cc [560 / 600] 4 actions, 3 running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/wire_format_lite.cc; 0s local Compiling src/google/protobuf/stubs/status.cc; 0s local [Scann] Compiling src/google/protobuf/io/coded_stream.cc [560 / 600] 4 actions running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/wire_format_lite.cc; 0s local Compiling src/google/protobuf/stubs/status.cc; 0s local Compiling src/google/protobuf/io/coded_stream.cc; 0s local [561 / 600] 4 actions running Compiling src/google/protobuf/wire_format_lite.cc; 0s local Compiling src/google/protobuf/stubs/status.cc; 0s local Compiling src/google/protobuf/io/coded_stream.cc; 0s local Compiling src/google/protobuf/io/strtod.cc; 0s local [561 / 600] 4 actions running Compiling src/google/protobuf/wire_format_lite.cc; 1s local Compiling src/google/protobuf/stubs/status.cc; 0s local Compiling src/google/protobuf/io/coded_stream.cc; 0s local Compiling src/google/protobuf/io/strtod.cc; 0s local [562 / 600] 4 actions running Compiling src/google/protobuf/wire_format_lite.cc; 1s local Compiling src/google/protobuf/io/coded_stream.cc; 1s local Compiling src/google/protobuf/io/strtod.cc; 0s local Compiling src/google/protobuf/stubs/strutil.cc; 0s local [563 / 600] 4 actions, 3 running Compiling src/google/protobuf/wire_format_lite.cc; 1s local Compiling src/google/protobuf/io/coded_stream.cc; 1s local Compiling src/google/protobuf/stubs/strutil.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/csharp/csharp_map_field.cc [565 / 600] 4 actions running Compiling src/google/protobuf/stubs/strutil.cc; 0s local @com_google_protobuf//:protoc_lib; 0s local Compiling src/google/protobuf/implicit_weak_message.cc; 0s local Compiling src/google/protobuf/parse_context.cc; 0s local [565 / 600] 4 actions running Compiling src/google/protobuf/stubs/strutil.cc; 1s local @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/implicit_weak_message.cc; 1s local Compiling src/google/protobuf/parse_context.cc; 1s local [568 / 600] 3 actions, 2 running @com_google_protobuf//:protoc_lib; 1s local Compiling src/google/protobuf/parse_context.cc; 1s local [Scann] Compiling src/google/protobuf/message_lite.cc [569 / 600] 4 actions, 3 running Compiling src/google/protobuf/parse_context.cc; 1s local Compiling src/google/protobuf/message_lite.cc; 0s local Compiling src/google/protobuf/stubs/common.cc; 0s local [Scann] Compiling gzclose.c [570 / 600] 4 actions running Compiling src/google/protobuf/parse_context.cc; 1s local Compiling src/google/protobuf/message_lite.cc; 0s local Compiling src/google/protobuf/stubs/common.cc; 0s local Compiling gzwrite.c; 0s local [572 / 600] 4 actions, 3 running Compiling src/google/protobuf/message_lite.cc; 0s local Compiling src/google/protobuf/stubs/common.cc; 0s local Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 0s local [Scann] Compiling src/google/protobuf/generated_message_util.cc [572 / 600] 4 actions running Compiling src/google/protobuf/message_lite.cc; 1s local Compiling src/google/protobuf/stubs/common.cc; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 0s local Compiling src/google/protobuf/generated_message_util.cc; 0s local [573 / 600] 4 actions, 3 running Compiling src/google/protobuf/message_lite.cc; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 0s local Compiling src/google/protobuf/generated_message_util.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/structurally_valid.cc [573 / 600] 4 actions running Compiling src/google/protobuf/message_lite.cc; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 1s local Compiling src/google/protobuf/generated_message_util.cc; 0s local Compiling src/google/protobuf/stubs/structurally_valid.cc; 0s local INFO: From Compiling src/google/protobuf/message_lite.cc: In file included from /usr/include/string.h:535, from external/com_google_protobuf/src/google/protobuf/stubs/port.h:39, from external/com_google_protobuf/src/google/protobuf/stubs/macros.h:34, from external/com_google_protobuf/src/google/protobuf/stubs/common.h:46, from external/com_google_protobuf/src/google/protobuf/message_lite.h:45, from external/com_google_protobuf/src/google/protobuf/message_lite.cc:36: In function 'void* memcpy(void*, const void*, size_t)', inlined from 'google::protobuf::uint8* google::protobuf::io::EpsCopyOutputStream::WriteRaw(const void*, int, google::protobuf::uint8*)' at external/com_google_protobuf/src/google/protobuf/io/coded_stream.h:699:16, inlined from 'virtual google::protobuf::uint8* google::protobuf::internal::ImplicitWeakMessage::_InternalSerialize(google::protobuf::uint8*, google::protobuf::io::EpsCopyOutputStream*) const' at external/com_google_protobuf/src/google/protobuf/implicit_weak_message.h:85:28, inlined from 'bool google::protobuf::MessageLite::SerializePartialToZeroCopyStream(google::protobuf::io::ZeroCopyOutputStream*) const' at external/com_google_protobuf/src/google/protobuf/message_lite.cc:419:30: /usr/include/bits/string_fortified.h:29:33: warning: 'void* __builtin___memcpy_chk(void*, const void*, long unsigned int, long unsigned int)' specified size between 18446744071562067968 and 18446744073709551615 exceeds maximum object size 9223372036854775807 [-Wstringop-overflow=] 29 | return __builtin___memcpy_chk (__dest, __src, __len, | ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~ 30 | __glibc_objsize0 (__dest)); | ~~~~~~~~~~~~~~~~~~~~~~~~~~ [573 / 600] 4 actions running Compiling src/google/protobuf/message_lite.cc; 2s local Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 1s local Compiling src/google/protobuf/generated_message_util.cc; 1s local Compiling src/google/protobuf/stubs/structurally_valid.cc; 0s local [575 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 1s local Compiling src/google/protobuf/generated_message_util.cc; 1s local Compiling infback.c; 0s local [Scann] Compiling src/google/protobuf/stubs/stringprintf.cc [576 / 600] 4 actions running Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 1s local Compiling src/google/protobuf/generated_message_util.cc; 1s local Compiling src/google/protobuf/stubs/stringprintf.cc; 0s local Compiling src/google/protobuf/stubs/time.cc; 0s local [576 / 600] 4 actions running Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 2s local Compiling src/google/protobuf/generated_message_util.cc; 2s local Compiling src/google/protobuf/stubs/stringprintf.cc; 0s local Compiling src/google/protobuf/stubs/time.cc; 0s local [577 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 2s local Compiling src/google/protobuf/generated_message_util.cc; 2s local Compiling src/google/protobuf/stubs/time.cc; 1s local [Scann] Compiling src/google/protobuf/compiler/code_generator.cc [579 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/cpp/cpp_string_field.cc; 3s local Compiling src/google/protobuf/compiler/code_generator.cc; 0s local Compiling src/google/protobuf/compiler/cpp/cpp_generator.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/stringpiece.cc [580 / 600] 4 actions running Compiling src/google/protobuf/compiler/code_generator.cc; 0s local Compiling src/google/protobuf/compiler/cpp/cpp_generator.cc; 0s local Compiling src/google/protobuf/stubs/stringpiece.cc; 0s local Compiling deflate.c; 0s local [580 / 600] 4 actions running Compiling src/google/protobuf/compiler/code_generator.cc; 0s local Compiling src/google/protobuf/compiler/cpp/cpp_generator.cc; 0s local Compiling src/google/protobuf/stubs/stringpiece.cc; 0s local Compiling deflate.c; 0s local [581 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/code_generator.cc; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_generator.cc; 1s local Compiling deflate.c; 0s local [Scann] Compiling src/google/protobuf/compiler/java/java_generator.cc [583 / 600] 4 actions, 3 running Compiling src/google/protobuf/compiler/code_generator.cc; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_generator.cc; 1s local Compiling src/google/protobuf/compiler/java/java_generator.cc; 0s local [Scann] Compiling gzread.c [583 / 600] 4 actions running Compiling src/google/protobuf/compiler/code_generator.cc; 1s local Compiling src/google/protobuf/compiler/cpp/cpp_generator.cc; 1s local Compiling src/google/protobuf/compiler/java/java_generator.cc; 0s local Compiling gzread.c; 0s local [587 / 602] 4 actions running Compiling src/google/protobuf/compiler/cpp/cpp_generator.cc; 1s local Compiling src/google/protobuf/compiler/java/java_generator.cc; 0s local Compiling src/google/protobuf/text_format.cc; 0s local @com_google_protobuf//:protoc; 0s local [590 / 706] 4 actions running Compiling src/google/protobuf/compiler/cpp/cpp_generator.cc; 2s local Compiling src/google/protobuf/compiler/java/java_generator.cc; 1s local Compiling src/google/protobuf/text_format.cc; 0s local @com_google_protobuf//:protobuf; 0s local [591 / 706] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_generator.cc; 1s local Compiling src/google/protobuf/text_format.cc; 0s local @com_google_protobuf//:protobuf; 0s local [Scann] Compiling trees.c [592 / 706] 4 actions, 3 running Compiling src/google/protobuf/compiler/java/java_generator.cc; 1s local Compiling src/google/protobuf/text_format.cc; 0s local @com_google_protobuf//:protobuf; 0s local [Scann] Compiling verilog/tools/kythe/indexing_facts_tree_extractor.cc [592 / 706] 4 actions running Compiling src/google/protobuf/compiler/java/java_generator.cc; 1s local Compiling src/google/protobuf/text_format.cc; 1s local @com_google_protobuf//:protobuf; 0s local Compiling verilog/tools/kythe/indexing_facts_tree_extractor.cc; 0s local [593 / 706] 4 actions, 3 running Compiling src/google/protobuf/text_format.cc; 2s local @com_google_protobuf//:protobuf; 1s local Compiling verilog/tools/kythe/indexing_facts_tree_extractor.cc; 1s local [Scann] Compiling .../tools/kythe/verilog_extractor_indexing_fact_type.cc [593 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 2s local @com_google_protobuf//:protobuf; 2s local Compiling verilog/tools/kythe/indexing_facts_tree_extractor.cc; 1s local Compiling .../kythe/verilog_extractor_indexing_fact_type.cc; 0s local [594 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 2s local Compiling verilog/tools/kythe/indexing_facts_tree_extractor.cc; 1s local Compiling .../kythe/verilog_extractor_indexing_fact_type.cc; 0s local Compiling src/google/protobuf/any.cc; 0s local [594 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 2s local Compiling verilog/tools/kythe/indexing_facts_tree_extractor.cc; 1s local Compiling .../kythe/verilog_extractor_indexing_fact_type.cc; 0s local Compiling src/google/protobuf/any.cc; 0s local [596 / 706] 4 actions, 3 running Compiling src/google/protobuf/text_format.cc; 3s local Compiling verilog/tools/kythe/indexing_facts_tree_extractor.cc; 2s local Compiling src/google/protobuf/any.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/stringprintf.cc [596 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 3s local Compiling verilog/tools/kythe/indexing_facts_tree_extractor.cc; 2s local Compiling src/google/protobuf/any.cc; 0s local Compiling src/google/protobuf/stubs/stringprintf.cc; 0s local [597 / 706] 4 actions, 3 running Compiling src/google/protobuf/text_format.cc; 3s local Compiling verilog/tools/kythe/indexing_facts_tree_extractor.cc; 3s local Compiling src/google/protobuf/stubs/stringprintf.cc; 0s local [Scann] Compiling inftrees.c [600 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 4s local Compiling verilog/tools/kythe/scope_resolver.cc; 0s local Compiling gzwrite.c; 0s local Compiling gzlib.c; 0s local [602 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 4s local Compiling verilog/tools/kythe/scope_resolver.cc; 0s local Compiling deflate.c; 0s local Compiling src/google/protobuf/api.pb.cc; 0s local [603 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 4s local Compiling verilog/tools/kythe/scope_resolver.cc; 0s local Compiling src/google/protobuf/api.pb.cc; 0s local Compiling src/google/protobuf/stubs/time.cc; 0s local [604 / 706] 4 actions, 3 running Compiling verilog/tools/kythe/scope_resolver.cc; 1s local Compiling src/google/protobuf/api.pb.cc; 1s local Compiling src/google/protobuf/stubs/time.cc; 0s local [Prepa] Linking external/com_google_protobuf/protoc [605 / 706] 4 actions running Compiling verilog/tools/kythe/scope_resolver.cc; 1s local Compiling src/google/protobuf/api.pb.cc; 1s local Linking external/com_google_protobuf/protoc; 0s local Compiling src/google/protobuf/descriptor_database.cc; 0s local [607 / 706] 4 actions running Compiling verilog/tools/kythe/scope_resolver.cc; 1s local Compiling src/google/protobuf/api.pb.cc; 1s local Compiling src/google/protobuf/descriptor_database.cc; 0s local Compiling verilog/tools/kythe/verilog_kythe_extractor.cc; 0s local [607 / 706] 4 actions running Compiling verilog/tools/kythe/scope_resolver.cc; 2s local Compiling src/google/protobuf/api.pb.cc; 1s local Compiling src/google/protobuf/descriptor_database.cc; 0s local Compiling verilog/tools/kythe/verilog_kythe_extractor.cc; 0s local [609 / 706] 4 actions, 3 running Compiling src/google/protobuf/api.pb.cc; 1s local Compiling src/google/protobuf/descriptor_database.cc; 0s local Compiling verilog/tools/kythe/verilog_kythe_extractor.cc; 0s local [Scann] Compiling compress.c [611 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor_database.cc; 0s local Compiling verilog/tools/kythe/verilog_kythe_extractor.cc; 0s local Compiling src/google/protobuf/stubs/strutil.cc; 0s local [Scann] Compiling src/google/protobuf/descriptor.cc [611 / 706] 4 actions running Compiling src/google/protobuf/descriptor_database.cc; 1s local Compiling verilog/tools/kythe/verilog_kythe_extractor.cc; 1s local Compiling src/google/protobuf/stubs/strutil.cc; 0s local Compiling src/google/protobuf/descriptor.cc; 0s local [611 / 706] 4 actions running Compiling src/google/protobuf/descriptor_database.cc; 2s local Compiling verilog/tools/kythe/verilog_kythe_extractor.cc; 2s local Compiling src/google/protobuf/stubs/strutil.cc; 1s local Compiling src/google/protobuf/descriptor.cc; 1s local [612 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor_database.cc; 2s local Compiling verilog/tools/kythe/verilog_kythe_extractor.cc; 2s local Compiling src/google/protobuf/descriptor.cc; 1s local [Scann] Compiling src/google/protobuf/empty.pb.cc [612 / 706] 4 actions running Compiling src/google/protobuf/descriptor_database.cc; 2s local Compiling verilog/tools/kythe/verilog_kythe_extractor.cc; 2s local Compiling src/google/protobuf/descriptor.cc; 1s local Compiling src/google/protobuf/empty.pb.cc; 0s local [613 / 706] 4 actions running Compiling verilog/tools/kythe/verilog_kythe_extractor.cc; 2s local Compiling src/google/protobuf/descriptor.cc; 2s local Compiling src/google/protobuf/empty.pb.cc; 0s local Compiling src/google/protobuf/extension_set_heavy.cc; 0s local [614 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 2s local Compiling src/google/protobuf/empty.pb.cc; 0s local Compiling src/google/protobuf/extension_set_heavy.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/common.cc [614 / 706] 4 actions running Compiling src/google/protobuf/descriptor.cc; 2s local Compiling src/google/protobuf/empty.pb.cc; 1s local Compiling src/google/protobuf/extension_set_heavy.cc; 0s local Compiling src/google/protobuf/stubs/common.cc; 0s local [615 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 3s local Compiling src/google/protobuf/extension_set_heavy.cc; 1s local Compiling src/google/protobuf/stubs/common.cc; 0s local [Scann] Compiling src/google/protobuf/generated_message_util.cc [615 / 706] 4 actions running Compiling src/google/protobuf/descriptor.cc; 3s local Compiling src/google/protobuf/extension_set_heavy.cc; 1s local Compiling src/google/protobuf/stubs/common.cc; 0s local Compiling src/google/protobuf/generated_message_util.cc; 0s local [616 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 3s local Compiling src/google/protobuf/extension_set_heavy.cc; 1s local Compiling src/google/protobuf/generated_message_util.cc; 0s local [Scann] Compiling src/google/protobuf/io/printer.cc [616 / 706] 4 actions running Compiling src/google/protobuf/descriptor.cc; 4s local Compiling src/google/protobuf/extension_set_heavy.cc; 2s local Compiling src/google/protobuf/generated_message_util.cc; 1s local Compiling src/google/protobuf/io/printer.cc; 0s local [617 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 4s local Compiling src/google/protobuf/generated_message_util.cc; 1s local Compiling src/google/protobuf/io/printer.cc; 0s local [Scann] Compiling src/google/protobuf/dynamic_message.cc [617 / 706] 4 actions running Compiling src/google/protobuf/descriptor.cc; 4s local Compiling src/google/protobuf/generated_message_util.cc; 1s local Compiling src/google/protobuf/io/printer.cc; 0s local Compiling src/google/protobuf/dynamic_message.cc; 0s local [618 / 706] 4 actions running Compiling src/google/protobuf/descriptor.cc; 4s local Compiling src/google/protobuf/io/printer.cc; 1s local Compiling src/google/protobuf/dynamic_message.cc; 0s local Compiling src/google/protobuf/parse_context.cc; 0s local [619 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor.cc; 5s local Compiling src/google/protobuf/dynamic_message.cc; 0s local Compiling src/google/protobuf/parse_context.cc; 0s local [Scann] Compiling src/google/protobuf/map_field.cc [619 / 706] 4 actions running Compiling src/google/protobuf/descriptor.cc; 5s local Compiling src/google/protobuf/dynamic_message.cc; 1s local Compiling src/google/protobuf/parse_context.cc; 0s local Compiling src/google/protobuf/map_field.cc; 0s local [620 / 706] 4 actions, 3 running Compiling src/google/protobuf/dynamic_message.cc; 1s local Compiling src/google/protobuf/parse_context.cc; 1s local Compiling src/google/protobuf/map_field.cc; 0s local [Scann] Compiling src/google/protobuf/message.cc [621 / 706] 4 actions running Compiling src/google/protobuf/dynamic_message.cc; 2s local Compiling src/google/protobuf/map_field.cc; 1s local Compiling src/google/protobuf/message.cc; 0s local Compiling src/google/protobuf/stubs/int128.cc; 0s local [622 / 706] 4 actions, 3 running Compiling src/google/protobuf/map_field.cc; 1s local Compiling src/google/protobuf/message.cc; 0s local Compiling src/google/protobuf/stubs/int128.cc; 0s local [Scann] Compiling src/google/protobuf/io/strtod.cc [622 / 706] 4 actions running Compiling src/google/protobuf/map_field.cc; 1s local Compiling src/google/protobuf/message.cc; 0s local Compiling src/google/protobuf/stubs/int128.cc; 0s local Compiling src/google/protobuf/io/strtod.cc; 0s local [623 / 706] 4 actions running Compiling src/google/protobuf/message.cc; 1s local Compiling src/google/protobuf/stubs/int128.cc; 0s local Compiling src/google/protobuf/io/strtod.cc; 0s local Compiling src/google/protobuf/service.cc; 0s local [623 / 706] 4 actions running Compiling src/google/protobuf/message.cc; 1s local Compiling src/google/protobuf/stubs/int128.cc; 1s local Compiling src/google/protobuf/io/strtod.cc; 0s local Compiling src/google/protobuf/service.cc; 0s local [624 / 706] 4 actions, 3 running Compiling src/google/protobuf/message.cc; 1s local Compiling src/google/protobuf/io/strtod.cc; 0s local Compiling src/google/protobuf/service.cc; 0s local [Scann] Compiling src/google/protobuf/struct.pb.cc [625 / 706] 4 actions, 3 running Compiling src/google/protobuf/message.cc; 1s local Compiling src/google/protobuf/service.cc; 0s local Compiling src/google/protobuf/struct.pb.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/statusor.cc [625 / 706] 4 actions running Compiling src/google/protobuf/message.cc; 2s local Compiling src/google/protobuf/service.cc; 1s local Compiling src/google/protobuf/struct.pb.cc; 0s local Compiling src/google/protobuf/stubs/statusor.cc; 0s local [626 / 706] 4 actions, 3 running Compiling src/google/protobuf/message.cc; 2s local Compiling src/google/protobuf/struct.pb.cc; 0s local Compiling src/google/protobuf/stubs/statusor.cc; 0s local [Scann] Compiling src/google/protobuf/io/coded_stream.cc [627 / 706] 4 actions running Compiling src/google/protobuf/struct.pb.cc; 1s local Compiling src/google/protobuf/stubs/statusor.cc; 0s local Compiling src/google/protobuf/io/coded_stream.cc; 0s local Compiling src/google/protobuf/text_format.cc; 0s local [628 / 706] 4 actions running Compiling src/google/protobuf/struct.pb.cc; 1s local Compiling src/google/protobuf/io/coded_stream.cc; 0s local Compiling src/google/protobuf/text_format.cc; 0s local Compiling src/google/protobuf/timestamp.pb.cc; 0s local [628 / 706] 4 actions running Compiling src/google/protobuf/struct.pb.cc; 1s local Compiling src/google/protobuf/io/coded_stream.cc; 0s local Compiling src/google/protobuf/text_format.cc; 0s local Compiling src/google/protobuf/timestamp.pb.cc; 0s local [629 / 706] 4 actions, 3 running Compiling src/google/protobuf/struct.pb.cc; 2s local Compiling src/google/protobuf/text_format.cc; 1s local Compiling src/google/protobuf/timestamp.pb.cc; 0s local [Scann] Compiling src/google/protobuf/type.pb.cc [629 / 706] 4 actions running Compiling src/google/protobuf/struct.pb.cc; 2s local Compiling src/google/protobuf/text_format.cc; 1s local Compiling src/google/protobuf/timestamp.pb.cc; 1s local Compiling src/google/protobuf/type.pb.cc; 0s local [630 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 1s local Compiling src/google/protobuf/timestamp.pb.cc; 1s local Compiling src/google/protobuf/type.pb.cc; 0s local Compiling src/google/protobuf/arena.cc; 0s local [631 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 1s local Compiling src/google/protobuf/type.pb.cc; 0s local Compiling src/google/protobuf/arena.cc; 0s local @com_google_protobuf//:protobuf; 0s local [632 / 706] 4 actions, 3 running Compiling src/google/protobuf/text_format.cc; 2s local Compiling src/google/protobuf/type.pb.cc; 1s local @com_google_protobuf//:protobuf; 0s local [Scann] Compiling src/google/protobuf/util/field_mask_util.cc [632 / 706] 4 actions running Compiling src/google/protobuf/text_format.cc; 2s local Compiling src/google/protobuf/type.pb.cc; 1s local @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/util/field_mask_util.cc; 0s local [633 / 706] 4 actions running Compiling src/google/protobuf/type.pb.cc; 1s local @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/util/field_mask_util.cc; 0s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 0s local [633 / 706] 4 actions running Compiling src/google/protobuf/type.pb.cc; 2s local @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/util/field_mask_util.cc; 0s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 0s local [634 / 706] 4 actions running @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/util/field_mask_util.cc; 1s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 0s local Compiling src/google/protobuf/wire_format.cc; 0s local [635 / 706] 4 actions running Compiling src/google/protobuf/util/field_mask_util.cc; 1s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 0s local Compiling src/google/protobuf/wire_format.cc; 0s local @com_google_protobuf//:protobuf; 0s local [635 / 706] 4 actions running Compiling src/google/protobuf/util/field_mask_util.cc; 1s local Compiling src/google/protobuf/io/zero_copy_stream_impl_lite.cc; 1s local Compiling src/google/protobuf/wire_format.cc; 0s local @com_google_protobuf//:protobuf; 0s local [637 / 706] 4 actions, 3 running Compiling src/google/protobuf/wire_format.cc; 0s local @com_google_protobuf//:protobuf; 0s local Compiling src/google/protobuf/util/internal/error_listener.cc; 0s local [Scann] Compiling src/google/protobuf/extension_set.cc [637 / 706] 4 actions running Compiling src/google/protobuf/wire_format.cc; 1s local @com_google_protobuf//:protobuf; 0s local Compiling src/google/protobuf/util/internal/error_listener.cc; 0s local Compiling src/google/protobuf/extension_set.cc; 0s local [638 / 706] 4 actions, 3 running Compiling src/google/protobuf/wire_format.cc; 2s local @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/extension_set.cc; 1s local [Scann] Compiling src/google/protobuf/util/json_util.cc [638 / 706] 4 actions running Compiling src/google/protobuf/wire_format.cc; 2s local @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/extension_set.cc; 1s local Compiling src/google/protobuf/util/json_util.cc; 0s local [639 / 706] 4 actions, 3 running @com_google_protobuf//:protobuf; 2s local Compiling src/google/protobuf/extension_set.cc; 1s local Compiling src/google/protobuf/util/json_util.cc; 0s local [Scann] Compiling src/google/protobuf/util/internal/json_escaping.cc [640 / 706] 4 actions, 3 running Compiling src/google/protobuf/extension_set.cc; 1s local Compiling src/google/protobuf/util/json_util.cc; 0s local Compiling src/google/protobuf/util/internal/json_escaping.cc; 0s local [Scann] Compiling src/google/protobuf/util/internal/utility.cc [640 / 706] 4 actions running Compiling src/google/protobuf/extension_set.cc; 2s local Compiling src/google/protobuf/util/json_util.cc; 1s local Compiling src/google/protobuf/util/internal/json_escaping.cc; 0s local Compiling src/google/protobuf/util/internal/utility.cc; 0s local [641 / 706] 4 actions running Compiling src/google/protobuf/util/json_util.cc; 1s local Compiling src/google/protobuf/util/internal/json_escaping.cc; 0s local Compiling src/google/protobuf/util/internal/utility.cc; 0s local Compiling src/google/protobuf/util/message_differencer.cc; 0s local [642 / 706] 4 actions running Compiling src/google/protobuf/util/json_util.cc; 1s local Compiling src/google/protobuf/util/internal/utility.cc; 0s local Compiling src/google/protobuf/util/message_differencer.cc; 0s local Compiling src/google/protobuf/util/internal/proto_writer.cc; 0s local [643 / 706] 4 actions running Compiling src/google/protobuf/util/internal/utility.cc; 1s local Compiling src/google/protobuf/util/message_differencer.cc; 0s local Compiling src/google/protobuf/util/internal/proto_writer.cc; 0s local Compiling src/google/protobuf/util/time_util.cc; 0s local [643 / 706] 4 actions running Compiling src/google/protobuf/util/internal/utility.cc; 1s local Compiling src/google/protobuf/util/message_differencer.cc; 1s local Compiling src/google/protobuf/util/internal/proto_writer.cc; 0s local Compiling src/google/protobuf/util/time_util.cc; 0s local [644 / 706] 4 actions, 3 running Compiling src/google/protobuf/util/message_differencer.cc; 1s local Compiling src/google/protobuf/util/internal/proto_writer.cc; 1s local Compiling src/google/protobuf/util/time_util.cc; 1s local [Scann] Compiling src/google/protobuf/util/internal/object_writer.cc [646 / 706] 4 actions, 3 running Compiling src/google/protobuf/util/message_differencer.cc; 2s local Compiling src/google/protobuf/util/internal/object_writer.cc; 0s local Compiling src/google/protobuf/util/type_resolver_util.cc; 0s local [Scann] @com_google_protobuf//:protobuf_lite [646 / 706] 4 actions running Compiling src/google/protobuf/util/message_differencer.cc; 2s local Compiling src/google/protobuf/util/internal/object_writer.cc; 0s local Compiling src/google/protobuf/util/type_resolver_util.cc; 0s local @com_google_protobuf//:protobuf_lite; 0s local [646 / 706] 4 actions running Compiling src/google/protobuf/util/message_differencer.cc; 3s local Compiling src/google/protobuf/util/internal/object_writer.cc; 1s local Compiling src/google/protobuf/util/type_resolver_util.cc; 1s local @com_google_protobuf//:protobuf_lite; 1s local [648 / 706] 4 actions, 3 running Compiling src/google/protobuf/util/type_resolver_util.cc; 1s local @com_google_protobuf//:protobuf_lite; 1s local Compiling src/google/protobuf/wrappers.pb.cc; 0s local [Scann] Compiling src/google/protobuf/util/internal/json_stream_parser.cc [649 / 706] 4 actions, 3 running @com_google_protobuf//:protobuf_lite; 1s local Compiling src/google/protobuf/wrappers.pb.cc; 0s local @com_google_protobuf//:protobuf; 0s local [Scann] Compiling src/google/protobuf/util/internal/json_objectwriter.cc [650 / 706] 4 actions running Compiling src/google/protobuf/wrappers.pb.cc; 0s local @com_google_protobuf//:protobuf; 0s local Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 0s local Compiling src/google/protobuf/any_lite.cc; 0s local [651 / 706] 4 actions, 3 running Compiling src/google/protobuf/wrappers.pb.cc; 1s local Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 1s local Compiling src/google/protobuf/any_lite.cc; 1s local [Scann] Compiling src/google/protobuf/util/internal/field_mask_utility.cc [651 / 706] 4 actions running Compiling src/google/protobuf/wrappers.pb.cc; 1s local Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 1s local Compiling src/google/protobuf/any_lite.cc; 1s local @com_google_protobuf//:protobuf; 0s local [652 / 706] 4 actions, 3 running Compiling src/google/protobuf/wrappers.pb.cc; 1s local Compiling src/google/protobuf/util/internal/json_objectwriter.cc; 1s local @com_google_protobuf//:protobuf; 0s local [Scann] Compiling src/google/protobuf/io/io_win32.cc [655 / 706] 4 actions, 3 running @com_google_protobuf//:protobuf; 0s local Compiling src/google/protobuf/generated_enum_util.cc; 0s local Compiling src/google/protobuf/util/internal/datapiece.cc; 0s local [Scann] Compiling src/google/protobuf/implicit_weak_message.cc [655 / 706] 4 actions running @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/generated_enum_util.cc; 0s local Compiling src/google/protobuf/util/internal/datapiece.cc; 0s local Compiling src/google/protobuf/implicit_weak_message.cc; 0s local [656 / 706] 4 actions, 3 running @com_google_protobuf//:protobuf; 1s local Compiling src/google/protobuf/util/internal/datapiece.cc; 1s local Compiling src/google/protobuf/implicit_weak_message.cc; 0s local [Scann] Compiling src/google/protobuf/util/field_comparator.cc [657 / 706] 4 actions, 3 running Compiling src/google/protobuf/util/internal/datapiece.cc; 1s local Compiling src/google/protobuf/implicit_weak_message.cc; 1s local Compiling src/google/protobuf/util/field_comparator.cc; 0s local [Scann] Compiling src/google/protobuf/util/delimited_message_util.cc [658 / 706] 4 actions running Compiling src/google/protobuf/util/internal/datapiece.cc; 1s local Compiling src/google/protobuf/util/field_comparator.cc; 0s local Compiling src/google/protobuf/util/delimited_message_util.cc; 0s local Compiling src/google/protobuf/repeated_field.cc; 0s local [659 / 706] 4 actions, 3 running Compiling src/google/protobuf/util/field_comparator.cc; 0s local Compiling src/google/protobuf/util/delimited_message_util.cc; 0s local Compiling src/google/protobuf/repeated_field.cc; 0s local [Scann] Compiling src/google/protobuf/unknown_field_set.cc [659 / 706] 4 actions running Compiling src/google/protobuf/util/field_comparator.cc; 1s local Compiling src/google/protobuf/util/delimited_message_util.cc; 0s local Compiling src/google/protobuf/repeated_field.cc; 0s local Compiling src/google/protobuf/unknown_field_set.cc; 0s local [660 / 706] 4 actions, 3 running Compiling src/google/protobuf/util/delimited_message_util.cc; 1s local Compiling src/google/protobuf/repeated_field.cc; 1s local Compiling src/google/protobuf/unknown_field_set.cc; 0s local [Scann] Compiling src/google/protobuf/io/zero_copy_stream.cc [661 / 706] 4 actions, 3 running Compiling src/google/protobuf/repeated_field.cc; 1s local Compiling src/google/protobuf/unknown_field_set.cc; 0s local Compiling src/google/protobuf/io/zero_copy_stream.cc; 0s local [Scann] Compiling src/google/protobuf/io/zero_copy_stream_impl.cc [661 / 706] 4 actions running Compiling src/google/protobuf/repeated_field.cc; 1s local Compiling src/google/protobuf/unknown_field_set.cc; 1s local Compiling src/google/protobuf/io/zero_copy_stream.cc; 0s local Compiling src/google/protobuf/io/zero_copy_stream_impl.cc; 0s local [662 / 706] 4 actions, 3 running Compiling src/google/protobuf/repeated_field.cc; 1s local Compiling src/google/protobuf/unknown_field_set.cc; 1s local Compiling src/google/protobuf/io/zero_copy_stream_impl.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/substitute.cc [664 / 706] 4 actions running Compiling src/google/protobuf/io/zero_copy_stream_impl.cc; 0s local Compiling src/google/protobuf/stubs/substitute.cc; 0s local Compiling src/google/protobuf/message_lite.cc; 0s local Compiling src/google/protobuf/source_context.pb.cc; 0s local [665 / 706] 4 actions, 3 running Compiling src/google/protobuf/stubs/substitute.cc; 0s local Compiling src/google/protobuf/message_lite.cc; 0s local Compiling src/google/protobuf/source_context.pb.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/bytestream.cc [665 / 706] 4 actions running Compiling src/google/protobuf/stubs/substitute.cc; 0s local Compiling src/google/protobuf/message_lite.cc; 0s local Compiling src/google/protobuf/source_context.pb.cc; 0s local Compiling src/google/protobuf/stubs/bytestream.cc; 0s local [666 / 706] 4 actions, 3 running Compiling src/google/protobuf/message_lite.cc; 1s local Compiling src/google/protobuf/source_context.pb.cc; 0s local Compiling src/google/protobuf/stubs/bytestream.cc; 0s local [Scann] Compiling src/google/protobuf/reflection_ops.cc [666 / 706] 4 actions running Compiling src/google/protobuf/message_lite.cc; 1s local Compiling src/google/protobuf/source_context.pb.cc; 1s local Compiling src/google/protobuf/stubs/bytestream.cc; 0s local Compiling src/google/protobuf/reflection_ops.cc; 0s local [668 / 706] 4 actions running Compiling src/google/protobuf/message_lite.cc; 1s local Compiling src/google/protobuf/reflection_ops.cc; 0s local Compiling src/google/protobuf/duration.pb.cc; 0s local Compiling src/google/protobuf/stubs/status.cc; 0s local [669 / 706] 4 actions running Compiling src/google/protobuf/reflection_ops.cc; 0s local Compiling src/google/protobuf/duration.pb.cc; 0s local Compiling src/google/protobuf/stubs/status.cc; 0s local Compiling src/google/protobuf/io/tokenizer.cc; 0s local [670 / 706] 4 actions, 3 running Compiling src/google/protobuf/reflection_ops.cc; 1s local Compiling src/google/protobuf/duration.pb.cc; 1s local Compiling src/google/protobuf/io/tokenizer.cc; 0s local [Scann] Compiling src/google/protobuf/wire_format_lite.cc [671 / 706] 4 actions running Compiling src/google/protobuf/reflection_ops.cc; 1s local Compiling src/google/protobuf/duration.pb.cc; 1s local Compiling src/google/protobuf/wire_format_lite.cc; 0s local Compiling src/google/protobuf/util/internal/type_info.cc; 0s local [672 / 706] 4 actions running Compiling src/google/protobuf/reflection_ops.cc; 2s local Compiling src/google/protobuf/wire_format_lite.cc; 0s local Compiling src/google/protobuf/util/internal/type_info.cc; 0s local Compiling src/google/protobuf/io/gzip_stream.cc; 0s local [672 / 706] 4 actions running Compiling src/google/protobuf/reflection_ops.cc; 2s local Compiling src/google/protobuf/wire_format_lite.cc; 0s local Compiling src/google/protobuf/util/internal/type_info.cc; 0s local Compiling src/google/protobuf/io/gzip_stream.cc; 0s local [673 / 706] 4 actions running Compiling src/google/protobuf/wire_format_lite.cc; 1s local Compiling src/google/protobuf/util/internal/type_info.cc; 0s local Compiling src/google/protobuf/io/gzip_stream.cc; 0s local Compiling src/google/protobuf/generated_message_table_driven.cc; 0s local [674 / 706] 4 actions running Compiling src/google/protobuf/wire_format_lite.cc; 1s local Compiling src/google/protobuf/util/internal/type_info.cc; 1s local Compiling src/google/protobuf/generated_message_table_driven.cc; 0s local Compiling inflate.c; 0s local [676 / 706] 4 actions, 3 running Compiling src/google/protobuf/util/internal/type_info.cc; 1s local Compiling src/google/protobuf/generated_message_table_driven.cc; 0s local Compiling src/google/protobuf/generated_message_reflection.cc; 0s local [Scann] Compiling src/google/protobuf/field_mask.pb.cc [676 / 706] 4 actions running Compiling src/google/protobuf/util/internal/type_info.cc; 1s local Compiling src/google/protobuf/generated_message_table_driven.cc; 0s local Compiling src/google/protobuf/generated_message_reflection.cc; 0s local Compiling src/google/protobuf/field_mask.pb.cc; 0s local [677 / 706] 4 actions running Compiling src/google/protobuf/generated_message_table_driven.cc; 1s local Compiling src/google/protobuf/generated_message_reflection.cc; 0s local Compiling src/google/protobuf/field_mask.pb.cc; 0s local Compiling src/google/protobuf/descriptor.pb.cc; 0s local [677 / 706] 4 actions running Compiling src/google/protobuf/generated_message_table_driven.cc; 1s local Compiling src/google/protobuf/generated_message_reflection.cc; 1s local Compiling src/google/protobuf/field_mask.pb.cc; 0s local Compiling src/google/protobuf/descriptor.pb.cc; 0s local [678 / 706] 4 actions, 3 running Compiling src/google/protobuf/generated_message_reflection.cc; 1s local Compiling src/google/protobuf/field_mask.pb.cc; 1s local Compiling src/google/protobuf/descriptor.pb.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/structurally_valid.cc [680 / 706] 4 actions, 3 running Compiling src/google/protobuf/generated_message_reflection.cc; 1s local Compiling src/google/protobuf/descriptor.pb.cc; 1s local Compiling src/google/protobuf/stubs/structurally_valid.cc; 0s local [Scann] Compiling crc32.c [681 / 706] 4 actions running Compiling src/google/protobuf/generated_message_reflection.cc; 2s local Compiling src/google/protobuf/descriptor.pb.cc; 1s local Compiling src/google/protobuf/stubs/structurally_valid.cc; 0s local Compiling src/google/protobuf/compiler/importer.cc; 0s local [681 / 706] 4 actions running Compiling src/google/protobuf/generated_message_reflection.cc; 2s local Compiling src/google/protobuf/descriptor.pb.cc; 1s local Compiling src/google/protobuf/stubs/structurally_valid.cc; 0s local Compiling src/google/protobuf/compiler/importer.cc; 0s local [682 / 706] 4 actions, 3 running Compiling src/google/protobuf/generated_message_reflection.cc; 2s local Compiling src/google/protobuf/descriptor.pb.cc; 2s local Compiling src/google/protobuf/compiler/importer.cc; 0s local [Scann] Compiling src/google/protobuf/compiler/parser.cc [683 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor.pb.cc; 2s local Compiling src/google/protobuf/compiler/importer.cc; 1s local Compiling src/google/protobuf/compiler/parser.cc; 0s local [Scann] Compiling infback.c [684 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor.pb.cc; 2s local Compiling src/google/protobuf/compiler/importer.cc; 1s local Compiling src/google/protobuf/compiler/parser.cc; 0s local [Scann] Compiling gzclose.c [685 / 706] 4 actions running Compiling src/google/protobuf/descriptor.pb.cc; 2s local Compiling src/google/protobuf/compiler/importer.cc; 1s local Compiling src/google/protobuf/compiler/parser.cc; 0s local Compiling src/google/protobuf/any.pb.cc; 0s local [686 / 706] 4 actions, 3 running Compiling src/google/protobuf/descriptor.pb.cc; 3s local Compiling src/google/protobuf/compiler/parser.cc; 1s local Compiling src/google/protobuf/any.pb.cc; 0s local [Scann] Compiling src/google/protobuf/stubs/stringpiece.cc [686 / 706] 4 actions running Compiling src/google/protobuf/descriptor.pb.cc; 3s local Compiling src/google/protobuf/compiler/parser.cc; 1s local Compiling src/google/protobuf/any.pb.cc; 1s local Compiling src/google/protobuf/stubs/stringpiece.cc; 0s local [687 / 706] 4 actions running Compiling src/google/protobuf/compiler/parser.cc; 2s local Compiling src/google/protobuf/any.pb.cc; 1s local Compiling src/google/protobuf/stubs/stringpiece.cc; 0s local Compiling verilog/tools/kythe/kythe_proto_output.cc; 0s local [687 / 706] 4 actions running Compiling src/google/protobuf/compiler/parser.cc; 2s local Compiling src/google/protobuf/any.pb.cc; 1s local Compiling src/google/protobuf/stubs/stringpiece.cc; 0s local Compiling verilog/tools/kythe/kythe_proto_output.cc; 0s local [689 / 706] 4 actions, 3 running Compiling src/google/protobuf/compiler/parser.cc; 2s local Compiling verilog/tools/kythe/kythe_proto_output.cc; 0s local Compiling uncompr.c; 0s local [Scann] Compiling third_party/proto/kythe/storage.pb.cc [691 / 706] 4 actions, 3 running Compiling verilog/tools/kythe/kythe_proto_output.cc; 0s local Compiling third_party/proto/kythe/storage.pb.cc; 0s local @com_google_protobuf//:protobuf; 0s local [Scann] Compiling verilog/tools/kythe/kythe_facts.cc [691 / 706] 4 actions running Compiling verilog/tools/kythe/kythe_proto_output.cc; 1s local Compiling third_party/proto/kythe/storage.pb.cc; 0s local @com_google_protobuf//:protobuf; 0s local Compiling verilog/tools/kythe/kythe_facts.cc; 0s local [691 / 706] 4 actions running Compiling verilog/tools/kythe/kythe_proto_output.cc; 2s local Compiling third_party/proto/kythe/storage.pb.cc; 1s local @com_google_protobuf//:protobuf; 1s local Compiling verilog/tools/kythe/kythe_facts.cc; 1s local [692 / 706] 4 actions, 3 running Compiling verilog/tools/kythe/kythe_proto_output.cc; 2s local Compiling third_party/proto/kythe/storage.pb.cc; 1s local @com_google_protobuf//:protobuf; 1s local [Scann] Compiling zutil.c [694 / 706] 4 actions, 3 running Compiling verilog/tools/kythe/kythe_proto_output.cc; 2s local @com_google_protobuf//:protobuf; 2s local Compiling verilog/tools/kythe/indexing_facts_tree.cc; 0s local [Scann] Compiling verilog/tools/kythe/kythe_facts_extractor.cc [696 / 712] 4 actions running Compiling verilog/tools/kythe/kythe_proto_output.cc; 3s local Compiling verilog/tools/kythe/indexing_facts_tree.cc; 0s local Compiling verilog/tools/kythe/kythe_facts_extractor.cc; 0s local Compiling verilog/tools/obfuscator/verilog_obfuscate.cc; 0s local [697 / 712] 4 actions running Compiling verilog/tools/kythe/indexing_facts_tree.cc; 0s local Compiling verilog/tools/kythe/kythe_facts_extractor.cc; 0s local Compiling verilog/tools/obfuscator/verilog_obfuscate.cc; 0s local Compiling verilog/analysis/extractors.cc; 0s local [698 / 712] 4 actions, 3 running Compiling verilog/tools/kythe/kythe_facts_extractor.cc; 1s local Compiling verilog/tools/obfuscator/verilog_obfuscate.cc; 1s local Compiling verilog/analysis/extractors.cc; 1s local [Scann] Compiling verilog/transform/obfuscate.cc [698 / 712] 4 actions running Compiling verilog/tools/kythe/kythe_facts_extractor.cc; 1s local Compiling verilog/tools/obfuscator/verilog_obfuscate.cc; 1s local Compiling verilog/analysis/extractors.cc; 1s local Compiling verilog/transform/obfuscate.cc; 0s local [699 / 712] 4 actions, 3 running Compiling verilog/tools/kythe/kythe_facts_extractor.cc; 2s local Compiling verilog/tools/obfuscator/verilog_obfuscate.cc; 2s local Compiling verilog/transform/obfuscate.cc; 0s local [Scann] Compiling common/strings/obfuscator.cc [700 / 712] 4 actions running Compiling verilog/tools/kythe/kythe_facts_extractor.cc; 2s local Compiling verilog/transform/obfuscate.cc; 1s local Compiling common/strings/obfuscator.cc; 0s local Compiling common/strings/random.cc; 0s local [701 / 713] 4 actions, 3 running Compiling verilog/tools/kythe/kythe_facts_extractor.cc; 3s local Compiling common/strings/obfuscator.cc; 1s local Compiling common/strings/random.cc; 0s local [Prepa] //verilog/tools/preprocessor:verible-verilog-preprocessor [702 / 715] 4 actions running Compiling verilog/tools/kythe/kythe_facts_extractor.cc; 3s local Compiling common/strings/obfuscator.cc; 1s local Compiling common/strings/random.cc; 1s local Compiling verilog/tools/preprocessor/verilog_preprocessor.cc; 0s local [703 / 715] 4 actions, 3 running Compiling common/strings/obfuscator.cc; 1s local Compiling common/strings/random.cc; 1s local Compiling verilog/tools/preprocessor/verilog_preprocessor.cc; 0s local [Prepa] Linking verilog/tools/kythe/verible-verilog-kythe-extractor [705 / 715] 4 actions, 3 running Compiling verilog/tools/preprocessor/verilog_preprocessor.cc; 0s local Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 0s local Compiling verilog/transform/strip_comments.cc; 0s local [Prepa] Linking verilog/tools/obfuscator/verible-verilog-obfuscate [705 / 715] 4 actions running Compiling verilog/tools/preprocessor/verilog_preprocessor.cc; 1s local Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 0s local Compiling verilog/transform/strip_comments.cc; 0s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 0s local [705 / 715] 4 actions running Compiling verilog/tools/preprocessor/verilog_preprocessor.cc; 2s local Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 1s local Compiling verilog/transform/strip_comments.cc; 1s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 1s local [707 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 1s local Compiling verilog/transform/strip_comments.cc; 1s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 1s local Compiling verilog/tools/diff/verilog_diff.cc; 0s local [708 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 2s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 1s local Compiling verilog/tools/diff/verilog_diff.cc; 0s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 0s local [708 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 2s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 2s local Compiling verilog/tools/diff/verilog_diff.cc; 0s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 0s local [708 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 3s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 3s local Compiling verilog/tools/diff/verilog_diff.cc; 1s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 1s local [709 / 717] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 3s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 3s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 1s local [Prepa] Linking verilog/tools/diff/verible-verilog-diff [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 4s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 4s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 2s local Linking verilog/tools/diff/verible-verilog-diff; 0s local [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 5s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 5s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 3s local Linking verilog/tools/diff/verible-verilog-diff; 1s local [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 6s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 6s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 4s local Linking verilog/tools/diff/verible-verilog-diff; 2s local [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 7s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 7s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 5s local Linking verilog/tools/diff/verible-verilog-diff; 3s local [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 8s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 8s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 6s local Linking verilog/tools/diff/verible-verilog-diff; 4s local [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 9s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 9s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 7s local Linking verilog/tools/diff/verible-verilog-diff; 5s local [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 10s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 10s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 8s local Linking verilog/tools/diff/verible-verilog-diff; 6s local [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 11s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 11s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 9s local Linking verilog/tools/diff/verible-verilog-diff; 7s local [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 12s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 12s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 10s local Linking verilog/tools/diff/verible-verilog-diff; 8s local INFO: From Linking verilog/tools/preprocessor/verible-verilog-preprocessor: lto-wrapper: warning: using serial compilation of 6 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [709 / 717] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 13s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 12s local Linking verilog/tools/preprocessor/verible-verilog-preprocessor; 11s local Linking verilog/tools/diff/verible-verilog-diff; 9s local [711 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 13s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 13s local Linking verilog/tools/diff/verible-verilog-diff; 9s local Compiling verilog/tools/syntax/verilog_syntax.cc; 0s local [711 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 14s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 14s local Linking verilog/tools/diff/verible-verilog-diff; 10s local Compiling verilog/tools/syntax/verilog_syntax.cc; 1s local INFO: From Linking verilog/tools/diff/verible-verilog-diff: lto-wrapper: warning: using serial compilation of 6 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [711 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 15s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 15s local Linking verilog/tools/diff/verible-verilog-diff; 11s local Compiling verilog/tools/syntax/verilog_syntax.cc; 2s local [712 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 15s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 15s local Compiling verilog/tools/syntax/verilog_syntax.cc; 2s local Compiling common/text/parser_verifier.cc; 0s local [712 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 16s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 16s local Compiling verilog/tools/syntax/verilog_syntax.cc; 3s local Compiling common/text/parser_verifier.cc; 1s local [713 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 17s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 16s local Compiling verilog/tools/syntax/verilog_syntax.cc; 3s local Compiling verilog/CST/verilog_tree_json.cc; 0s local [714 / 724] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 17s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 17s local Compiling verilog/CST/verilog_tree_json.cc; 0s local [Scann] Compiling common/text/token_info_json.cc [714 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 17s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 17s local Compiling verilog/CST/verilog_tree_json.cc; 0s local Compiling common/text/token_info_json.cc; 0s local [714 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 18s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 18s local Compiling verilog/CST/verilog_tree_json.cc; 1s local Compiling common/text/token_info_json.cc; 1s local [715 / 724] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 19s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 19s local Compiling common/text/token_info_json.cc; 2s local [Scann] Compiling verilog/analysis/json_diagnostics.cc [715 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 19s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 19s local Compiling common/text/token_info_json.cc; 2s local Compiling verilog/analysis/json_diagnostics.cc; 0s local [716 / 724] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 19s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 19s local Compiling verilog/analysis/json_diagnostics.cc; 0s local [Scann] Compiling verilog/parser/verilog_token.cc [716 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 20s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 20s local Compiling verilog/analysis/json_diagnostics.cc; 0s local Compiling verilog/parser/verilog_token.cc; 0s local [716 / 724] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 21s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 21s local Compiling verilog/analysis/json_diagnostics.cc; 1s local Compiling verilog/parser/verilog_token.cc; 1s local [718 / 729] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 21s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 21s local Compiling verilog/analysis/json_diagnostics.cc; 2s local Compiling common/tools/jcxxgen.cc; 0s local [718 / 729] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 22s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 22s local Compiling verilog/analysis/json_diagnostics.cc; 3s local Compiling common/tools/jcxxgen.cc; 1s local [719 / 729] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 22s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 22s local Compiling common/tools/jcxxgen.cc; 1s local [Prepa] Linking verilog/tools/syntax/verible-verilog-syntax [719 / 729] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 23s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 23s local Compiling common/tools/jcxxgen.cc; 1s local Linking verilog/tools/syntax/verible-verilog-syntax; 0s local [719 / 729] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 24s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 24s local Compiling common/tools/jcxxgen.cc; 2s local Linking verilog/tools/syntax/verible-verilog-syntax; 1s local [720 / 729] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 25s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 25s local Linking verilog/tools/syntax/verible-verilog-syntax; 2s local [Prepa] Linking common/tools/jcxxgen [720 / 729] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 25s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 25s local Linking verilog/tools/syntax/verible-verilog-syntax; 2s local Linking common/tools/jcxxgen; 0s local [720 / 729] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 26s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 26s local Linking verilog/tools/syntax/verible-verilog-syntax; 3s local Linking common/tools/jcxxgen; 1s local [720 / 729] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 27s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 27s local Linking verilog/tools/syntax/verible-verilog-syntax; 4s local Linking common/tools/jcxxgen; 2s local [720 / 729] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 28s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 28s local Linking verilog/tools/syntax/verible-verilog-syntax; 5s local Linking common/tools/jcxxgen; 3s local INFO: From Linking verilog/tools/obfuscator/verible-verilog-obfuscate: lto-wrapper: warning: using serial compilation of 13 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [720 / 729] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 29s local Linking verilog/tools/obfuscator/verible-verilog-obfuscate; 29s local Linking verilog/tools/syntax/verible-verilog-syntax; 7s local Linking common/tools/jcxxgen; 4s local [724 / 738] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 30s local Linking verilog/tools/syntax/verible-verilog-syntax; 7s local Linking common/tools/jcxxgen; 4s local Compiling common/lsp/json-rpc-dispatcher.cc; 0s local [724 / 738] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 31s local Linking verilog/tools/syntax/verible-verilog-syntax; 8s local Linking common/tools/jcxxgen; 6s local Compiling common/lsp/json-rpc-dispatcher.cc; 1s local [724 / 738] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 32s local Linking verilog/tools/syntax/verible-verilog-syntax; 9s local Linking common/tools/jcxxgen; 7s local Compiling common/lsp/json-rpc-dispatcher.cc; 2s local [725 / 738] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 33s local Linking verilog/tools/syntax/verible-verilog-syntax; 10s local Linking common/tools/jcxxgen; 7s local [Scann] Compiling common/lsp/message-stream-splitter.cc [725 / 738] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 33s local Linking verilog/tools/syntax/verible-verilog-syntax; 10s local Linking common/tools/jcxxgen; 8s local Compiling common/lsp/message-stream-splitter.cc; 0s local [725 / 738] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 34s local Linking verilog/tools/syntax/verible-verilog-syntax; 11s local Linking common/tools/jcxxgen; 9s local Compiling common/lsp/message-stream-splitter.cc; 1s local [726 / 738] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 35s local Linking verilog/tools/syntax/verible-verilog-syntax; 12s local Linking common/tools/jcxxgen; 9s local [Prepa] Expanding template _install_gen.sh [728 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 35s local Linking verilog/tools/syntax/verible-verilog-syntax; 12s local Linking common/tools/jcxxgen; 10s local Compiling .../analysis/checkers/always_ff_non_blocking_rule.cc; 0s local [728 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 36s local Linking verilog/tools/syntax/verible-verilog-syntax; 13s local Linking common/tools/jcxxgen; 11s local Compiling .../analysis/checkers/always_ff_non_blocking_rule.cc; 1s local INFO: From Linking common/tools/jcxxgen: lto-wrapper: warning: using serial compilation of 7 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [728 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 37s local Linking verilog/tools/syntax/verible-verilog-syntax; 14s local Linking common/tools/jcxxgen; 12s local Compiling .../analysis/checkers/always_ff_non_blocking_rule.cc; 2s local [731 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 37s local Linking verilog/tools/syntax/verible-verilog-syntax; 14s local Compiling absl/container/internal/hashtablez_sampler.cc; 0s local Compiling verilog/tools/ls/lsp-parse-buffer.cc; 0s local [732 / 805] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 38s local Linking verilog/tools/syntax/verible-verilog-syntax; 15s local Compiling verilog/tools/ls/lsp-parse-buffer.cc; 1s local [Scann] Compiling common/lsp/lsp-text-buffer.cc [732 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 39s local Linking verilog/tools/syntax/verible-verilog-syntax; 16s local Compiling verilog/tools/ls/lsp-parse-buffer.cc; 1s local Compiling common/lsp/lsp-text-buffer.cc; 0s local [732 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 40s local Linking verilog/tools/syntax/verible-verilog-syntax; 17s local Compiling verilog/tools/ls/lsp-parse-buffer.cc; 2s local Compiling common/lsp/lsp-text-buffer.cc; 1s local [732 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 41s local Linking verilog/tools/syntax/verible-verilog-syntax; 18s local Compiling verilog/tools/ls/lsp-parse-buffer.cc; 3s local Compiling common/lsp/lsp-text-buffer.cc; 2s local [733 / 805] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 41s local Linking verilog/tools/syntax/verible-verilog-syntax; 18s local Compiling verilog/tools/ls/lsp-parse-buffer.cc; 4s local [Scann] Compiling verilog/tools/ls/document-symbol-filler.cc [734 / 805] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 41s local Linking verilog/tools/syntax/verible-verilog-syntax; 19s local Compiling verilog/tools/ls/document-symbol-filler.cc; 0s local [Sched] Compiling verilog/tools/ls/verilog_ls.cc [734 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 42s local Linking verilog/tools/syntax/verible-verilog-syntax; 19s local Compiling verilog/tools/ls/document-symbol-filler.cc; 0s local Compiling verilog/tools/ls/verilog_ls.cc; 0s local [734 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 43s local Linking verilog/tools/syntax/verible-verilog-syntax; 20s local Compiling verilog/tools/ls/document-symbol-filler.cc; 1s local Compiling verilog/tools/ls/verilog_ls.cc; 1s local [734 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 44s local Linking verilog/tools/syntax/verible-verilog-syntax; 21s local Compiling verilog/tools/ls/document-symbol-filler.cc; 2s local Compiling verilog/tools/ls/verilog_ls.cc; 2s local [735 / 805] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 44s local Linking verilog/tools/syntax/verible-verilog-syntax; 21s local Compiling verilog/tools/ls/verilog_ls.cc; 2s local [Scann] Compiling verilog/tools/ls/verible-lsp-adapter.cc [735 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 45s local Linking verilog/tools/syntax/verible-verilog-syntax; 22s local Compiling verilog/tools/ls/verilog_ls.cc; 3s local Compiling verilog/tools/ls/verible-lsp-adapter.cc; 0s local [735 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 46s local Linking verilog/tools/syntax/verible-verilog-syntax; 23s local Compiling verilog/tools/ls/verilog_ls.cc; 4s local Compiling verilog/tools/ls/verible-lsp-adapter.cc; 1s local [735 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 47s local Linking verilog/tools/syntax/verible-verilog-syntax; 24s local Compiling verilog/tools/ls/verilog_ls.cc; 5s local Compiling verilog/tools/ls/verible-lsp-adapter.cc; 2s local [735 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 48s local Linking verilog/tools/syntax/verible-verilog-syntax; 25s local Compiling verilog/tools/ls/verilog_ls.cc; 6s local Compiling verilog/tools/ls/verible-lsp-adapter.cc; 3s local [736 / 805] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 48s local Linking verilog/tools/syntax/verible-verilog-syntax; 25s local Compiling verilog/tools/ls/verible-lsp-adapter.cc; 3s local Compiling absl/container/internal/raw_hash_set.cc; 0s local [737 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 49s local Linking verilog/tools/syntax/verible-verilog-syntax; 26s local Compiling verilog/tools/ls/verible-lsp-adapter.cc; 4s local [Prepa] //verilog/analysis/checkers:always_ff_non_blocking_rule [739 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 49s local Linking verilog/tools/syntax/verible-verilog-syntax; 26s local Linking .../analysis/checkers/libalways_ff_non_blocking_rule.so; 0s local [Prepa] Linking verilog/tools/ls/verible-verilog-ls [739 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 49s local Linking verilog/tools/syntax/verible-verilog-syntax; 26s local Linking .../analysis/checkers/libalways_ff_non_blocking_rule.so; 0s local Linking verilog/tools/ls/verible-verilog-ls; 0s local [740 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 50s local Linking verilog/tools/syntax/verible-verilog-syntax; 27s local Linking verilog/tools/ls/verible-verilog-ls; 1s local [Scann] @com_google_absl//absl/container:hashtablez_sampler [740 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 51s local Linking verilog/tools/syntax/verible-verilog-syntax; 28s local Linking verilog/tools/ls/verible-verilog-ls; 1s local @com_google_absl//absl/container:hashtablez_sampler; 0s local [741 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 51s local Linking verilog/tools/syntax/verible-verilog-syntax; 29s local Linking verilog/tools/ls/verible-verilog-ls; 2s local [Scann] Compiling absl/debugging/internal/address_is_readable.cc [741 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 52s local Linking verilog/tools/syntax/verible-verilog-syntax; 29s local Linking verilog/tools/ls/verible-verilog-ls; 2s local Compiling absl/debugging/internal/address_is_readable.cc; 0s local [742 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 52s local Linking verilog/tools/syntax/verible-verilog-syntax; 29s local Linking verilog/tools/ls/verible-verilog-ls; 3s local [Scann] Compiling absl/debugging/symbolize.cc [742 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 53s local Linking verilog/tools/syntax/verible-verilog-syntax; 30s local Linking verilog/tools/ls/verible-verilog-ls; 3s local Compiling absl/debugging/symbolize.cc; 0s local [743 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 54s local Linking verilog/tools/syntax/verible-verilog-syntax; 31s local Linking verilog/tools/ls/verible-verilog-ls; 4s local [Scann] Compiling absl/hash/internal/hash.cc [743 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 54s local Linking verilog/tools/syntax/verible-verilog-syntax; 31s local Linking verilog/tools/ls/verible-verilog-ls; 4s local Compiling absl/hash/internal/hash.cc; 0s local [744 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 55s local Linking verilog/tools/syntax/verible-verilog-syntax; 32s local Linking verilog/tools/ls/verible-verilog-ls; 5s local [Scann] Compiling absl/time/internal/cctz/src/time_zone_posix.cc [744 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 55s local Linking verilog/tools/syntax/verible-verilog-syntax; 32s local Linking verilog/tools/ls/verible-verilog-ls; 5s local Compiling absl/time/internal/cctz/src/time_zone_posix.cc; 0s local [745 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 55s local Linking verilog/tools/syntax/verible-verilog-syntax; 32s local Linking verilog/tools/ls/verible-verilog-ls; 5s local Compiling absl/strings/internal/cord_rep_btree.cc; 0s local [745 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 55s local Linking verilog/tools/syntax/verible-verilog-syntax; 32s local Linking verilog/tools/ls/verible-verilog-ls; 6s local Compiling absl/strings/internal/cord_rep_btree.cc; 0s local [745 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 56s local Linking verilog/tools/syntax/verible-verilog-syntax; 34s local Linking verilog/tools/ls/verible-verilog-ls; 7s local Compiling absl/strings/internal/cord_rep_btree.cc; 1s local [746 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 57s local Linking verilog/tools/syntax/verible-verilog-syntax; 34s local Linking verilog/tools/ls/verible-verilog-ls; 7s local [Scann] Compiling absl/strings/internal/cord_rep_btree_navigator.cc [746 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 57s local Linking verilog/tools/syntax/verible-verilog-syntax; 34s local Linking verilog/tools/ls/verible-verilog-ls; 7s local Compiling absl/strings/internal/cord_rep_btree_navigator.cc; 0s local [747 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 58s local Linking verilog/tools/syntax/verible-verilog-syntax; 35s local Linking verilog/tools/ls/verible-verilog-ls; 8s local [Scann] Compiling verilog/analysis/lint_rule_registry.cc [747 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 58s local Linking verilog/tools/syntax/verible-verilog-syntax; 35s local Linking verilog/tools/ls/verible-verilog-ls; 8s local Compiling verilog/analysis/lint_rule_registry.cc; 0s local [747 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 59s local Linking verilog/tools/syntax/verible-verilog-syntax; 36s local Linking verilog/tools/ls/verible-verilog-ls; 9s local Compiling verilog/analysis/lint_rule_registry.cc; 1s local [747 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 60s local Linking verilog/tools/syntax/verible-verilog-syntax; 37s local Linking verilog/tools/ls/verible-verilog-ls; 10s local Compiling verilog/analysis/lint_rule_registry.cc; 2s local [748 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 60s local Linking verilog/tools/syntax/verible-verilog-syntax; 37s local Linking verilog/tools/ls/verible-verilog-ls; 11s local [Scann] Compiling absl/strings/internal/cord_rep_consume.cc [748 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 61s local Linking verilog/tools/syntax/verible-verilog-syntax; 38s local Linking verilog/tools/ls/verible-verilog-ls; 11s local Compiling absl/strings/internal/cord_rep_consume.cc; 0s local [749 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 62s local Linking verilog/tools/syntax/verible-verilog-syntax; 39s local Linking verilog/tools/ls/verible-verilog-ls; 12s local [Scann] Compiling absl/hash/internal/low_level_hash.cc [749 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 62s local Linking verilog/tools/syntax/verible-verilog-syntax; 39s local Linking verilog/tools/ls/verible-verilog-ls; 12s local Compiling absl/hash/internal/low_level_hash.cc; 0s local [750 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 62s local Linking verilog/tools/syntax/verible-verilog-syntax; 39s local Linking verilog/tools/ls/verible-verilog-ls; 12s local Compiling absl/strings/internal/cord_rep_ring.cc; 0s local [750 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 62s local Linking verilog/tools/syntax/verible-verilog-syntax; 40s local Linking verilog/tools/ls/verible-verilog-ls; 13s local Compiling absl/strings/internal/cord_rep_ring.cc; 0s local [751 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 63s local Linking verilog/tools/syntax/verible-verilog-syntax; 40s local Linking verilog/tools/ls/verible-verilog-ls; 14s local [Scann] Compiling absl/strings/internal/str_format/extension.cc [751 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 64s local Linking verilog/tools/syntax/verible-verilog-syntax; 41s local Linking verilog/tools/ls/verible-verilog-ls; 14s local Compiling absl/strings/internal/str_format/extension.cc; 0s local [752 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 64s local Linking verilog/tools/syntax/verible-verilog-syntax; 41s local Linking verilog/tools/ls/verible-verilog-ls; 14s local [Scann] Compiling absl/strings/internal/cordz_info.cc [752 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 64s local Linking verilog/tools/syntax/verible-verilog-syntax; 42s local Linking verilog/tools/ls/verible-verilog-ls; 15s local Compiling absl/strings/internal/cordz_info.cc; 0s local INFO: From Linking verilog/tools/syntax/verible-verilog-syntax: lto-wrapper: warning: using serial compilation of 24 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information [752 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 65s local Linking verilog/tools/syntax/verible-verilog-syntax; 42s local Linking verilog/tools/ls/verible-verilog-ls; 15s local Compiling absl/strings/internal/cordz_info.cc; 0s local [753 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 65s local Linking verilog/tools/ls/verible-verilog-ls; 15s local Compiling absl/strings/internal/cordz_info.cc; 0s local Compiling common/text/token_stream_view.cc; 0s local [754 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 65s local Linking verilog/tools/ls/verible-verilog-ls; 16s local Compiling common/text/token_stream_view.cc; 0s local [Scann] Compiling absl/strings/internal/cordz_handle.cc [755 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 66s local Linking verilog/tools/ls/verible-verilog-ls; 16s local Compiling absl/strings/internal/cordz_handle.cc; 0s local Compiling absl/strings/internal/str_format/arg.cc; 0s local [756 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 67s local Linking verilog/tools/ls/verible-verilog-ls; 17s local Compiling absl/strings/internal/str_format/arg.cc; 1s local [Scann] Compiling absl/synchronization/blocking_counter.cc [756 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 67s local Linking verilog/tools/ls/verible-verilog-ls; 17s local Compiling absl/strings/internal/str_format/arg.cc; 1s local Compiling absl/synchronization/blocking_counter.cc; 0s local [757 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 67s local Linking verilog/tools/ls/verible-verilog-ls; 17s local Compiling absl/synchronization/blocking_counter.cc; 0s local [Scann] Compiling absl/synchronization/internal/create_thread_identity.cc [757 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 67s local Linking verilog/tools/ls/verible-verilog-ls; 18s local Compiling absl/synchronization/blocking_counter.cc; 0s local Compiling .../synchronization/internal/create_thread_identity.cc; 0s local [758 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 68s local Linking verilog/tools/ls/verible-verilog-ls; 18s local Compiling .../synchronization/internal/create_thread_identity.cc; 0s local Compiling absl/synchronization/internal/per_thread_sem.cc; 0s local [759 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 68s local Linking verilog/tools/ls/verible-verilog-ls; 18s local Compiling absl/synchronization/internal/per_thread_sem.cc; 0s local [Scann] Compiling absl/time/internal/cctz/src/time_zone_impl.cc [759 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 68s local Linking verilog/tools/ls/verible-verilog-ls; 19s local Compiling absl/synchronization/internal/per_thread_sem.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_impl.cc; 0s local [760 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 68s local Linking verilog/tools/ls/verible-verilog-ls; 19s local Compiling absl/time/internal/cctz/src/time_zone_impl.cc; 0s local Compiling absl/time/civil_time.cc; 0s local [760 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 69s local Linking verilog/tools/ls/verible-verilog-ls; 19s local Compiling absl/time/internal/cctz/src/time_zone_impl.cc; 0s local Compiling absl/time/civil_time.cc; 0s local [761 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 69s local Linking verilog/tools/ls/verible-verilog-ls; 19s local Compiling absl/time/civil_time.cc; 0s local [Scann] Compiling absl/time/clock.cc [761 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 69s local Linking verilog/tools/ls/verible-verilog-ls; 20s local Compiling absl/time/civil_time.cc; 1s local Compiling absl/time/clock.cc; 0s local [762 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 70s local Linking verilog/tools/ls/verible-verilog-ls; 20s local Compiling absl/time/clock.cc; 0s local Compiling absl/time/duration.cc; 0s local [763 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 70s local Linking verilog/tools/ls/verible-verilog-ls; 20s local Compiling absl/time/duration.cc; 0s local [Scann] Compiling absl/time/internal/cctz/src/time_zone_fixed.cc [763 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 70s local Linking verilog/tools/ls/verible-verilog-ls; 21s local Compiling absl/time/duration.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_fixed.cc; 0s local [764 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 71s local Linking verilog/tools/ls/verible-verilog-ls; 21s local Compiling absl/time/internal/cctz/src/time_zone_fixed.cc; 0s local [Scann] Compiling absl/strings/internal/str_format/output.cc [765 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 71s local Linking verilog/tools/ls/verible-verilog-ls; 21s local Compiling absl/strings/internal/str_format/output.cc; 0s local Compiling common/text/config_utils.cc; 0s local [766 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 71s local Linking verilog/tools/ls/verible-verilog-ls; 22s local Compiling common/text/config_utils.cc; 0s local [Scann] Compiling absl/time/internal/cctz/src/time_zone_format.cc [766 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 72s local Linking verilog/tools/ls/verible-verilog-ls; 22s local Compiling common/text/config_utils.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_format.cc; 0s local [767 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 72s local Linking verilog/tools/ls/verible-verilog-ls; 23s local Compiling common/text/config_utils.cc; 1s local [Scann] Compiling absl/time/internal/cctz/src/time_zone_if.cc [767 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 73s local Linking verilog/tools/ls/verible-verilog-ls; 23s local Compiling common/text/config_utils.cc; 1s local Compiling absl/time/internal/cctz/src/time_zone_if.cc; 0s local [768 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 73s local Linking verilog/tools/ls/verible-verilog-ls; 24s local Compiling absl/time/internal/cctz/src/time_zone_if.cc; 0s local [Scann] Compiling common/text/text_structure.cc [769 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 73s local Linking verilog/tools/ls/verible-verilog-ls; 24s local Compiling common/text/text_structure.cc; 0s local [Scann] Compiling absl/time/internal/cctz/src/time_zone_info.cc [769 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 74s local Linking verilog/tools/ls/verible-verilog-ls; 24s local Compiling common/text/text_structure.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_info.cc; 0s local [769 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 75s local Linking verilog/tools/ls/verible-verilog-ls; 25s local Compiling common/text/text_structure.cc; 1s local Compiling absl/time/internal/cctz/src/time_zone_info.cc; 1s local [770 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 75s local Linking verilog/tools/ls/verible-verilog-ls; 26s local Compiling absl/time/internal/cctz/src/time_zone_info.cc; 1s local [Scann] Compiling absl/time/internal/cctz/src/civil_time_detail.cc [771 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 75s local Linking verilog/tools/ls/verible-verilog-ls; 26s local Compiling absl/time/internal/cctz/src/civil_time_detail.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_libc.cc; 0s local [772 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 76s local Linking verilog/tools/ls/verible-verilog-ls; 26s local Compiling absl/time/internal/cctz/src/time_zone_libc.cc; 0s local [Scann] Compiling absl/time/time.cc [772 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 76s local Linking verilog/tools/ls/verible-verilog-ls; 26s local Compiling absl/time/internal/cctz/src/time_zone_libc.cc; 0s local Compiling absl/time/time.cc; 0s local [773 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 76s local Linking verilog/tools/ls/verible-verilog-ls; 27s local Compiling absl/time/time.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_lookup.cc; 0s local [773 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 76s local Linking verilog/tools/ls/verible-verilog-ls; 27s local Compiling absl/time/time.cc; 0s local Compiling absl/time/internal/cctz/src/time_zone_lookup.cc; 0s local [774 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 77s local Linking verilog/tools/ls/verible-verilog-ls; 27s local Compiling absl/time/internal/cctz/src/time_zone_lookup.cc; 0s local [Scann] Compiling absl/time/format.cc [775 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 77s local Linking verilog/tools/ls/verible-verilog-ls; 28s local Compiling absl/time/format.cc; 0s local Compiling absl/strings/internal/str_format/bind.cc; 0s local [776 / 806] 4 actions, 3 running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 78s local Linking verilog/tools/ls/verible-verilog-ls; 28s local Compiling absl/strings/internal/str_format/bind.cc; 0s local [Scann] Compiling absl/strings/internal/str_format/float_conversion.cc [776 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 78s local Linking verilog/tools/ls/verible-verilog-ls; 29s local Compiling absl/strings/internal/str_format/bind.cc; 1s local Compiling absl/strings/internal/str_format/float_conversion.cc; 0s local [777 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 78s local Linking verilog/tools/ls/verible-verilog-ls; 29s local Compiling absl/strings/internal/str_format/float_conversion.cc; 0s local Compiling absl/synchronization/internal/waiter.cc; 0s local [777 / 806] 4 actions running Linking verilog/tools/kythe/verible-verilog-kythe-extractor; 79s local Linking verilog/tools/ls/verible-verilog-ls; 29s local Compiling absl/strings/internal/str_format/float_conversion.cc; 0s local Compiling absl/synchronization/internal/waiter.cc; 0s local ERROR: /var/tmp/portage/sci-electronics/verible-0.0.2152/work/verible-0.0-2152-gdd5e91a4/verilog/tools/kythe/BUILD:214:10: Linking verilog/tools/kythe/verible-verilog-kythe-extractor failed: (Exit 1): gcc failed: error executing command (cd /var/tmp/portage/sci-electronics/verible-0.0.2152/work/verible-0.0-2152-gdd5e91a4-bazel-base/execroot/com_google_verible && \ exec env - \ HOME=/var/tmp/portage/sci-electronics/verible-0.0.2152/homedir \ PATH=/usr/lib/portage/python3.10/ebuild-helpers/xattr:/usr/lib/portage/python3.10/ebuild-helpers:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/opt/bin \ PWD=/proc/self/cwd \ /usr/bin/gcc @bazel-out/k8-opt/bin/verilog/tools/kythe/verible-verilog-kythe-extractor-2.params) # Configuration: 07583fd5522dbd22e70ab6fd149f4150804feb9069030e3beab07b1831951663 # Execution platform: @local_config_platform//:host external/net_zlib/gzwrite.c:89:20: warning: type of 'write' does not match original declaration [-Wlto-type-mismatch] 89 | writ = write(state->fd, strm->next_in, put); | ^ /usr/include/unistd.h:378:16: note: return value type mismatch 378 | extern ssize_t write (int __fd, const void *__buf, size_t __n) __wur | ^ /usr/include/unistd.h:378:16: note: type 'ssize_t' should match type 'int' /usr/include/unistd.h:378:16: note: 'write' was previously declared here /usr/include/unistd.h:378:16: note: code may be misoptimized unless '-fno-strict-aliasing' is used external/net_zlib/gzlib.c:254:9: warning: type of 'lseek' does not match original declaration [-Wlto-type-mismatch] 254 | LSEEK(state->fd, 0, SEEK_END); /* so gzoffset() is correct */ | ^ /usr/include/unistd.h:339:16: note: return value type mismatch 339 | extern __off_t lseek (int __fd, __off_t __offset, int __whence) __THROW; | ^ /usr/include/unistd.h:339:16: note: type '__off_t' should match type 'int' /usr/include/unistd.h:339:16: note: 'lseek' was previously declared here /usr/include/unistd.h:339:16: note: code may be misoptimized unless '-fno-strict-aliasing' is used external/net_zlib/gzread.c:35:15: warning: type of 'read' does not match original declaration [-Wlto-type-mismatch] 35 | ret = read(state->fd, buf + *have, get); | ^ /usr/include/bits/unistd.h:26:16: note: return value type mismatch 26 | extern ssize_t __REDIRECT (__read_alias, (int __fd, void *__buf, | ^ /usr/include/bits/unistd.h:26:16: note: type 'ssize_t' should match type 'int' /usr/include/bits/unistd.h:26:16: note: '__read_alias' was previously declared here /usr/include/bits/unistd.h:26:16: note: code may be misoptimized unless '-fno-strict-aliasing' is used lto-wrapper: warning: using serial compilation of 43 LTRANS jobs lto-wrapper: note: see the '-flto' option documentation for more information In function 'operator<', inlined from 'operator()' at external/com_google_protobuf/src/google/protobuf/descriptor_database.cc:517:33, inlined from 'operator()' at /usr/lib/gcc/x86_64-pc-linux-gnu/12.1.1/include/g++-v12/bits/predefined_ops.h:240:23, inlined from '__upper_bound' at /usr/lib/gcc/x86_64-pc-linux-gnu/12.1.1/include/g++-v12/bits/stl_algo.h:2024:14, inlined from 'upper_bound' at /usr/lib/gcc/x86_64-pc-linux-gnu/12.1.1/include/g++-v12/bits/stl_algo.h:2091:32, inlined from 'FindLastLessOrEqual' at external/com_google_protobuf/src/google/protobuf/descriptor_database.cc:180:31, inlined from 'FindSymbolOnlyFlat' at external/com_google_protobuf/src/google/protobuf/descriptor_database.cc:783:26, inlined from 'FindSymbol' at external/com_google_protobuf/src/google/protobuf/descriptor_database.cc:776:28, inlined from 'FindFileContainingSymbol' at external/com_google_protobuf/src/google/protobuf/descriptor_database.cc:583:20: external/com_google_protobuf/src/google/protobuf/stubs/stringpiece.h:410:23: warning: '__builtin_memcmp' specified bound [9223372036854775808, 0] exceeds maximum object size 9223372036854775807 [-Wstringop-overread] 410 | const int r = memcmp(x.data(), y.data(), static_cast(min_size)); | ^ /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans4.ltrans.o::function google::protobuf::compiler::(anonymous namespace)::MakeTypeNameTable() [clone .lto_priv.0] [clone .cold]: error: undefined reference to 'std::_Hashtable, std::allocator >, std::pair, std::allocator > const, google::protobuf::FieldDescriptorProto_Type>, std::allocator, std::allocator > const, google::protobuf::FieldDescriptorProto_Type> >, std::__detail::_Select1st, std::equal_to, std::allocator > >, std::hash, std::allocator > >, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits >::clear()' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans4.ltrans.o::function google::protobuf::compiler::(anonymous namespace)::MakeTypeNameTable() [clone .lto_priv.0] [clone .cold]: error: undefined reference to 'std::_Hashtable, std::allocator >, std::pair, std::allocator > const, google::protobuf::FieldDescriptorProto_Type>, std::allocator, std::allocator > const, google::protobuf::FieldDescriptorProto_Type> >, std::__detail::_Select1st, std::equal_to, std::allocator > >, std::hash, std::allocator > >, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits >::_M_deallocate_buckets()' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans4.ltrans.o::function google::protobuf::compiler::(anonymous namespace)::MakeTypeNameTable() [clone .lto_priv.0]: error: undefined reference to 'std::__detail::_Map_base, std::allocator >, std::pair, std::allocator > const, google::protobuf::FieldDescriptorProto_Type>, std::allocator, std::allocator > const, google::protobuf::FieldDescriptorProto_Type> >, std::__detail::_Select1st, std::equal_to, std::allocator > >, std::hash, std::allocator > >, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits, true>::operator[](std::__cxx11::basic_string, std::allocator >&&)' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans4.ltrans.o::function google::protobuf::compiler::(anonymous namespace)::MakeTypeNameTable() [clone .lto_priv.0]: error: undefined reference to 'std::__detail::_Map_base, std::allocator >, std::pair, std::allocator > const, google::protobuf::FieldDescriptorProto_Type>, std::allocator, std::allocator > const, google::protobuf::FieldDescriptorProto_Type> >, std::__detail::_Select1st, std::equal_to, std::allocator > >, std::hash, std::allocator > >, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits, true>::operator[](std::__cxx11::basic_string, std::allocator >&&)' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans4.ltrans.o::function google::protobuf::compiler::(anonymous namespace)::MakeTypeNameTable() [clone .lto_priv.0]: error: undefined reference to 'std::__detail::_Map_base, std::allocator >, std::pair, std::allocator > const, google::protobuf::FieldDescriptorProto_Type>, std::allocator, std::allocator > const, google::protobuf::FieldDescriptorProto_Type> >, std::__detail::_Select1st, std::equal_to, std::allocator > >, std::hash, std::allocator > >, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits, true>::operator[](std::__cxx11::basic_string, std::allocator >&&)' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans4.ltrans.o::function google::protobuf::compiler::(anonymous namespace)::MakeTypeNameTable() [clone .lto_priv.0]: error: undefined reference to 'std::__detail::_Map_base, std::allocator >, std::pair, std::allocator > const, google::protobuf::FieldDescriptorProto_Type>, std::allocator, std::allocator > const, google::protobuf::FieldDescriptorProto_Type> >, std::__detail::_Select1st, std::equal_to, std::allocator > >, std::hash, std::allocator > >, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits, true>::operator[](std::__cxx11::basic_string, std::allocator >&&)' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2fwrappers_2eproto' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2ftype_2eproto' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2ftimestamp_2eproto' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2fstruct_2eproto' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2fsource_5fcontext_2eproto' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2ffield_5fmask_2eproto' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2fempty_2eproto' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2fduration_2eproto' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'std::unordered_map, std::allocator >, google::protobuf::FieldDescriptorProto_Type, std::hash, std::allocator > >, std::equal_to, std::allocator > >, std::allocator, std::allocator > const, google::protobuf::FieldDescriptorProto_Type> > >::~unordered_map()' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2fapi_2eproto' /var/tmp/portage/sci-electronics/verible-0.0.2152/temp/ccA4cNiu.ltrans42.ltrans.o::function _sub_I_65535_0.0: error: undefined reference to 'descriptor_table_google_2fprotobuf_2fany_2eproto' collect2: error: ld returned 1 exit status [778 / 806] 3 actions running Linking verilog/tools/ls/verible-verilog-ls; 29s local Compiling absl/strings/internal/str_format/float_conversion.cc; 0s local Compiling absl/synchronization/internal/waiter.cc; 0s local INFO: Elapsed time: 406.618s, Critical Path: 97.65s [781 / 806] checking cached actions INFO: 781 processes: 67 internal, 714 local. [781 / 806] checking cached actions FAILED: Build did NOT complete successfully FAILED: Build did NOT complete successfully * ERROR: sci-electronics/verible-0.0.2152::guru failed (compile phase): * ebazel failed * * Call stack: * ebuild.sh, line 122: Called src_compile * environment, line 1507: Called ebazel 'build' '-c' 'opt' '--//bazel:use_local_flex_bison' '//...' * environment, line 810: Called die * The specific snippet of code: * "${@}" || die "ebazel failed" * * If you need support, post the output of `emerge --info '=sci-electronics/verible-0.0.2152::guru'`, * the complete build log and the output of `emerge -pqv '=sci-electronics/verible-0.0.2152::guru'`. * The complete build log is located at '/var/log/emerge-log/build/sci-electronics/verible-0.0.2152:20220723-171010.log'. * For convenience, a symlink to the build log is located at '/var/tmp/portage/sci-electronics/verible-0.0.2152/temp/build.log'. * The ebuild environment file is located at '/var/tmp/portage/sci-electronics/verible-0.0.2152/temp/environment'. * Working directory: '/var/tmp/portage/sci-electronics/verible-0.0.2152/work/verible-0.0-2152-gdd5e91a4' * S: '/var/tmp/portage/sci-electronics/verible-0.0.2152/work/verible-0.0-2152-gdd5e91a4'