diff --git a/validator/val_secalgo.c b/validator/val_secalgo.c index 7f5c518..bd7e89f 100644 --- a/validator/val_secalgo.c +++ b/validator/val_secalgo.c @@ -72,6 +72,14 @@ #include #endif +#ifdef HAVE_OPENSSL_DSA_H +#include +#endif + +#ifdef HAVE_OPENSSL_BN_H +#include +#endif + /** fake DSA support for unit tests */ int fake_dsa = 0; /** fake SHA1 support for unit tests */