Gentoo Websites Logo
Go to: Gentoo Home Documentation Forums Lists Bugs Planet Store Wiki Get Gentoo!
Bug 203721 - sci-electronics/ghdl-0.26 ~amd64 fixes
Summary: sci-electronics/ghdl-0.26 ~amd64 fixes
Status: RESOLVED FIXED
Alias: None
Product: Gentoo Linux
Classification: Unclassified
Component: New packages (show other bugs)
Hardware: All Linux
: High enhancement (vote)
Assignee: AMD64 Project
URL: http://ghdl.free.fr/
Whiteboard:
Keywords:
Depends on:
Blocks:
 
Reported: 2007-12-29 22:26 UTC by jon R-B
Modified: 2008-02-09 20:25 UTC (History)
0 users

See Also:
Package list:
Runtime testing required: ---


Attachments
sci-electronics/ghdl-0.26.ebuild (ghdl-0.26.ebuild,2.27 KB, text/plain)
2007-12-29 22:27 UTC, jon R-B
Details
sci-electronics/ghdl-0.26.ebuild (ghdl-0.26.ebuild,2.28 KB, text/plain)
2007-12-29 22:37 UTC, jon R-B
Details

Note You need to log in before you can comment on or make changes to this bug.
Description jon R-B 2007-12-29 22:26:49 UTC
ghdl-0.26 will not compile on an amd64 (multilib) setup

ebuild sci-electronics/ghdl-0.24 has a section within src_unpack()  that setups the build environment (right terminology?) so it works on a multilib amd64 setup

adding :

	# For multilib profile arch, reference from SpanKY's ebuilds.
	if (has_multilib_profile || use multilib ) ; then
		for T_LINUX64 in `find "${S}/gcc/config" -name t-linux64` ;
		do
			einfo "sed for ${T_LINUX64} for multilib. :)"
			sed -i \
				-e "s:\(MULTILIB_OSDIRNAMES = \).*:\1../lib64 ../lib32:" \
				"${T_LINUX64}" \
			|| die "sed for ${T_LINUX64} failed. :("
		done
	fi

to src_unpack() & a IUSE for multilib allows ghdl-0.26 to compile on an amd64 setup

a hello_world (vhdl style) has been tested and works

use std.textio.all; --  Imports the standard textio package.
     
--  Defines a design entity, without any ports.
entity hello_world is
end hello_world;
     
architecture behaviour of hello_world is
begin
    process
        variable l : line;
    begin
        write (l, String'("Hello world!"));
        writeline (output, l);
        wait;
    end process;
end behaviour;

ghdl -a hello.vhdl
ghdl -e hello_world
./hello_world








Reproducible: Always
Comment 1 jon R-B 2007-12-29 22:27:56 UTC
Created attachment 139587 [details]
sci-electronics/ghdl-0.26.ebuild

ebuild with modification (akin to ghdl-0.24 ebuild that is in bugzilla)
Comment 2 Jakub Moc (RETIRED) gentoo-dev 2007-12-29 22:30:30 UTC
This is not keyworded for amd64 at all...
Comment 3 jon R-B 2007-12-29 22:36:51 UTC
yer just noticed that once I reviewed it
I had added sci-electronics/ghdl ** to py /etc/portage/package.keywords when I initially tried to install this

corrected
Comment 4 jon R-B 2007-12-29 22:37:46 UTC
Created attachment 139589 [details]
sci-electronics/ghdl-0.26.ebuild

corrected missing keyword
Comment 5 Denis Dupeyron (RETIRED) gentoo-dev 2008-02-09 19:08:58 UTC
Fixed. Next time it would be best to CC the sci-electronics herd as I only found out about this bug while googling for something else. You can thank Google for spying so much on my internet usage that it returns me open Gentoo bugs whatever I search for. Scary.

Denis.

Comment 6 jon R-B 2008-02-09 20:25:48 UTC
ahhh yer, didn't think about that
but thanks for amd64-ing this package (means I can now remove it from my local overlay)