Gentoo Websites Logo
Go to: Gentoo Home Documentation Forums Lists Bugs Planet Store Wiki Get Gentoo!
Bug 82660 - Ebuild for sci-misc/gdl (new package)
Summary: Ebuild for sci-misc/gdl (new package)
Status: RESOLVED FIXED
Alias: None
Product: Gentoo Linux
Classification: Unclassified
Component: New packages (show other bugs)
Hardware: x86 Linux
: High enhancement (vote)
Assignee: Gentoo Science Related Packages
URL: http://gnudatalanguage.sourceforge.net/
Whiteboard:
Keywords: EBUILD
Depends on:
Blocks:
 
Reported: 2005-02-20 03:25 UTC by Daniel Veillette
Modified: 2005-12-21 07:33 UTC (History)
2 users (show)

See Also:
Package list:
Runtime testing required: ---


Attachments
Ebuild for GDL. (gdl-0.8.8.ebuild,664 bytes, text/plain)
2005-02-20 03:30 UTC, Daniel Veillette
Details
gdl-0.8.8.ebuild (fixed) (gdl-0.8.8.ebuild,665 bytes, text/plain)
2005-02-25 11:08 UTC, Daniel Veillette
Details
ebuild for gdl-0.8.10 (gdl-0.8.10.ebuild,727 bytes, text/plain)
2005-09-21 03:49 UTC, Steven Rieder
Details
ebuild for gdl-0.8.11 (gdl-0.8.11.ebuild,899 bytes, text/plain)
2005-10-22 15:48 UTC, Jose Marino
Details
patch for gdl-0.8.11.ebuild in sci overlay (gdl-0.8.11.ebuild.patch,2.20 KB, patch)
2005-12-10 11:02 UTC, Markus Dittrich (RETIRED)
Details | Diff

Note You need to log in before you can comment on or make changes to this bug.
Description Daniel Veillette 2005-02-20 03:25:56 UTC
Simple ebuild for GDL.  Compiles and runs fine here, but beware, it's my first ebuild. ;)

Reproducible: Always
Steps to Reproduce:
1.
2.
3.
Comment 1 Daniel Veillette 2005-02-20 03:30:15 UTC
Created attachment 51646 [details]
Ebuild for GDL.
Comment 2 Daniel Veillette 2005-02-25 11:05:16 UTC
Comment on attachment 51646 [details]
Ebuild for GDL.

># $Header: $
>
>inherit eutils
>DESCRIPTION="A free IDL (Interactive Data Language) compatible incremental compiler"
>HOMEPAGE="http://gnudatalanguage.sourceforge.net/"
>SRC_URI="mirror://sourceforge/gnudatalanguage/${P}.tar.gz"
>LICENSE="GPL"
>SLOT="0"
>KEYWORDS="~x86"
>IUSE="python"
>DEPEND=">=sys-libs/readline-4.3
>	sci-libs/gsl
>	>=sci-libs/plplot-5.3
>	media-gfx/imagemagick
>	sci-libs/hdf
>	sci-libs/hdf5
>	sci-libs/netcdf
>	python? ( dev-python/numarray )
>	python? ( dev-python/matplotlib )"
>
>src_compile() {
>	use python || myconf="${myconf} --with-python=no"
>	econf || die "econf failed"
>	emake || die "emake failed"
>}
>
>src_install() {
>	make DESTDIR=${D} install || die
>}
Comment 3 Daniel Veillette 2005-02-25 11:08:09 UTC
Created attachment 52136 [details]
gdl-0.8.8.ebuild (fixed)

Corrected the use of the python USE flag.
Comment 4 Steven Rieder 2005-09-21 03:49:03 UTC
Created attachment 68926 [details]
ebuild for gdl-0.8.10

Version bump to 0.8.10
Comment 5 Jose Marino 2005-10-22 15:48:57 UTC
Created attachment 71227 [details]
ebuild for gdl-0.8.11

Version bump to 0.8.11

Added fftw USE flag
Comment 6 Jose Marino 2005-10-24 16:09:38 UTC
How come this hasn't made it to portage yet?
Version 0.8.11 works nicely on my amd64.
Comment 7 Markus Dittrich (RETIRED) gentoo-dev 2005-12-09 06:53:38 UTC
Hi  folks, 
 
Thanks for the gdl ebuild. I will have a look at the package over the weekend. 
 
Thanks, 
Markus 
Comment 8 Sébastien Fabbro (RETIRED) gentoo-dev 2005-12-10 01:08:27 UTC
The ebuild is already in the sci overlay. Please take a look at
http://gentooscience.org/browser/overlay/sci-misc/gdl and test it.
Comment 9 Markus Dittrich (RETIRED) gentoo-dev 2005-12-10 11:02:01 UTC
Created attachment 74447 [details, diff]
patch for gdl-0.8.11.ebuild in sci overlay

Hi  Sebastien,

Thank you very much for pointing that out and thanks for the good work! 
The next time, I will make sure to check the overlay before I start working 
on a new ebuild:) It might still be helpful if you guys post a short message 
on bugzilla once you move a new ebuild into the overlay - just as a reminder!
 
I tested the ebuild in the overlay. After a few minor changes (see the attached

patch) it works fine for me. If you're ok with the changes I'd be fine moving
it into
portage soon. What do you think about the sci-misc category; since gdl is an
interactive compiler, dev-lang might be better. 

Thanks,
Markus
Comment 10 Sébastien Fabbro (RETIRED) gentoo-dev 2005-12-14 01:52:10 UTC
Hi. Thanks for the input. I updated the ebuild and the svn overlay (comment #8)
Comment 11 Markus Dittrich (RETIRED) gentoo-dev 2005-12-14 20:38:42 UTC
Hi Sebastien, 
 
If you don't have any objections and the updated ebuild works 
fine I will move gdl to portage on the weekend. 
 
Thanks, 
Markus 
Comment 12 Markus Dittrich (RETIRED) gentoo-dev 2005-12-21 07:33:31 UTC
Hi all,

gdl-0.8.11 has just been added to portage. Thanks for all the good work:)

cheers,
Markus